• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 39
  • 18
  • 9
  • 3
  • 1
  • 1
  • Tagged with
  • 87
  • 87
  • 22
  • 16
  • 15
  • 11
  • 11
  • 10
  • 8
  • 8
  • 7
  • 6
  • 6
  • 6
  • 6
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
61

Étude de la cinétique et des dommages de gravure par plasma de couches minces de nitrure d’aluminium

Morel, Sabrina 08 1900 (has links)
Une étape cruciale dans la fabrication des MEMS de haute fréquence est la gravure par plasma de la couche mince d’AlN de structure colonnaire agissant comme matériau piézoélectrique. Réalisé en collaboration étroite avec les chercheurs de Teledyne Dalsa, ce mémoire de maîtrise vise à mieux comprendre les mécanismes physico-chimiques gouvernant la cinétique ainsi que la formation de dommages lors de la gravure de l’AlN dans des plasmas Ar/Cl2/BCl3. Dans un premier temps, nous avons effectué une étude de l’influence des conditions opératoires d’un plasma à couplage inductif sur la densité des principales espèces actives de la gravure, à savoir, les ions positifs et les atomes de Cl. Ces mesures ont ensuite été corrélées aux caractéristiques de gravure, en particulier la vitesse de gravure, la rugosité de surface et les propriétés chimiques de la couche mince. Dans les plasmas Ar/Cl2, nos travaux ont notamment mis en évidence l’effet inhibiteur de l’AlO, un composé formé au cours de la croissance de l’AlN par pulvérisation magnétron réactive et non issu des interactions plasmas-parois ou encore de l’incorporation d’humidité dans la structure colonnaire de l’AlN. En présence de faibles traces de BCl3 dans le plasma Ar/Cl2, nous avons observé une amélioration significative du rendement de gravure de l’AlN dû à la formation de composés volatils BOCl. Par ailleurs, selon nos travaux, il y aurait deux niveaux de rugosité post-gravure : une plus faible rugosité produite par la présence d’AlO dans les plasmas Ar/Cl2 et indépendante de la vitesse de gravure ainsi qu’une plus importante rugosité due à la désorption préférentielle de l’Al dans les plasmas Ar/Cl2/BCl3 et augmentant linéairement avec la vitesse de gravure. / A crucial step in the fabrication of high-frequency MEMS is the etching of the columnar AlN thin film acting as the piezoelectric material. Realized in close collaboration with researchers from Teledyne Dalsa, the objective of this master thesis is to better understand the physico-chemical mechanisms driving the etching kinetics and damage formation dynamics during etching of AlN in Ar/Cl2/BCl3 plasmas. In the first set of experiments, we have studied the influence of the operating parameters of an inductively coupled plasma on the number density of the main etching species in such plasmas, namely positive ions and Cl atoms. These measurements were then correlated with the etching characteristics, in particular the etching rate, the surface roughness, and the chemical properties of the AlN layer after etching. In Ar/Cl2 plasmas, our work has highlighted the inhibition effect of AlO, a compound formed during the AlN growth by reactive magnetron sputtering and not from plasma-wall interactions or from the incorporation of moisture in the columnar nanostructure of AlN. In presence of small amounts of BCl3 in the Ar/Cl2 plasma, we have observed a significant increase of the etching yield of AlN due to the formation of volatile BOCl compounds. Furthermore, our work has demonstrated that there are two levels of roughness following etching: a lower roughness produced by the presence of AlO in Ar/Cl2 plasmas which is independent of the etching rate and a larger roughness due to preferential desorption of Al in Ar/Cl2/BCl3 plasmas which increases linearly with the etching rate.
62

Etude de la gravure du SiN contrôlée a l'échelle atomique par implantation d'O2 suivi de gravure ultra-sélective SiO2/SiN en plasma déporté NF3/NH3 / Study of the etching of SiN controlled at the atomic scale by O2 implantation followed by ultra-selective SiO2 / SiN etching in remote plasma NF3 / NH3

Soriano casero, Robert 25 January 2019 (has links)
Depuis le début de la microélectronique, l’industrie a développé sans arrêt des nouvelles technologies de gravure plasma pour diminuer la taille des dispositifs tout en réduisant le cout de fabrication et en augmentent les performances des circuits intégrés. Aujourd’hui, les transistors tel que le FDSOI 22nm ou FinFET 10 nm doivent être gravé avec une précision sub-nanométrique et sans endommager la sous-couche sur plus d’une couche atomique. Pour arriver à faire cela, de nouvelles technologie se développent, dont le Smart Etch. Cette technologie en deux étapes consiste à modifier la surface du matériau sous l’action d’un plasma, puis à retirer ce matériau modifié sélectivement par rapport au matériau non modifié. Le but de cette thèse est d’étudier la faisabilité de remplacer les plasmas de He et H2 utilisé dans le Smart Etch par des plasmas d’O2. L’intérêt est l’oxydation du matériau est une réelle modification chimique, permettant l’élimination sélective de ce dernier en RPS. Par ailleurs, contrairement aux plasma de He/H2, le plasma de O2 ne grave pas les parois du réacteur et rejette beaucoup moins d’impuretés dans le plasma. Dans un premier temps, nous avons étudié les mélanges gazeux NF3/H2 et NF3/NH3 utilisés dans l’étape de retrait RPS. Ces études ont été fait grâce à la spectroscopie d’absorption VUV et d’émission UV. Nous avons mis en évidence la création de HF dans les deux mélanges et nous avons mis en avant de manière indirecte la création de NH4F (cette espèce jouant un rôle clé dans la formation des sels) à partir de NH3 et HF. De plus nous avons observé la présence de F et H qui sont responsable de la gravure de SiO2 et SiN lorsque H2<NF3 et NH3<NF3. Dans un second temps, nous avons étudié par XPS angulaire et ellipsométrie l’implantation des ions oxygène dans du SiN avec différent flux et énergie ionique. Cela a bien montré que le SiN initial est transformé en une couche SiOxNy avec une contribution SiO2 importante, sous réserve que l’état stationnaire soit atteint (il faut une dose d’ions significative pour cela). Le flux, l’énergie des ions et le temps de traitement sont donc les paramètres clés pour le contrôle de la couche modifié. Enfin, des tests préliminaires de gravure cyclique de SiN pleine plaque en mode « ALE » (c’est dire monocouche atomique par monocouche atomique) ainsi qu’en mode standard (retrait de quelques nanomètres / cycle) montrent que le principe de gravure est réaliste. Ce travail ouvre donc la voie au développement de ce nouveau type de procédé. / Since the beginning of microelectronics, the industry has continuously developed new plasma etching technologies to reduce the size of devices while reducing the cost of manufacturing and increase the performance of integrated circuits. Today, transistors such as 22nm FDSOI or 10nm FinFET must be engraved with sub-nanometric precision and without damaging the underlayment on more than one atomic layer. To achieve this, new technologies are developing, including the Smart Etch. This two-step technology involves modifying the surface of the material under the action of a plasma and then removing selectively the modified material from the unmodified material. The aim of this thesis is to study the feasibility of replacing the He and H2 plasmas used in the Smart Etch by O2 plasmas. The interest is the oxidation of the material, that it is a real chemical modification, allowing latter the selective elimination by RPS. Moreover, unlike He / H2 plasma, the O2 plasma does not damage the reactor walls and releases much less impurities into the plasma. Firstly, we studied the gaseous mixtures NF3 / H2 and NF3 / NH3 used in the step of RPS remove. Thouse studies were done through VUV absorption spectroscopy and UV emission. We have demonstrated the creation of HF in both mixtures and we have indirectly highlighted the creation of NH4F (this species plays a key role in the formation of salts) from NH3 and HF. In addition we observed the presence of F and H which are responsible for the etching of SiO2 and SiN when H2 <NF3 and NH3 <NF3. Secondly, we studied angular XPS and ellipsometry by implanting oxygen ions in SiN with different flux and ionic energy. This has shown that the initial SiN is transformed into a SiOxNy layer with a significant SiO2 contribution, provided that the stationary state is reached (a significant dose of ions is required for this). Flux, ion energy and processing time are therefore the key parameters for controlling the modified layer.Finally, preliminary tests of full-plate SiN cyclic etching in "ALE" mode (ie atomic monolayer by atomic monolayer) as well as in standard mode (removing a few nanometers / cycle) show that the etching principle is realistic. This work opens the way to the development of this new type of process.
63

Chemistry and Morphology of Polymer Thin Films for Electro-Optical Application

Simon, Darren, s3027589@student.rmit.edu.au January 2006 (has links)
Thin polymer films and their properties have been investigated. The characteristics of crystalline polymers according to film thickness have been improved using polycaprolactone (PCL). The melting enthalpy of PCL has increased when the film thickness decreased and the peak melting temperature showed no significant changes with film thickness. Film thickness variation influenced surface roughness and crystal size. Optical microscope images showed the rougher surface of thicker films. The spinning time has shown no influence on film thickness and no significant changes to surface roughness. Thin films of block copolymers were used in the surface modification study; films studied included poly(styrene-b-butadiene-b-styrene) (SBS) and poly(styrene-b-isoprene-b-styrene) (SIS) and their surface modifications have been controlled using different methods of treatment. Films of SIS heated at different temperatures have shown different surface texture and roughness. Films treated at low temperature (45 °C) had smooth surfaces when compared with films heated at high temperature (120 °C and 160 °C). Phase separation of SIS heated at (120 °C and 160 °C) caused bulges of different sizes to cover the surface. The height and width of the bulges showed variation with film thickness and heating. Substrate interaction with SBS and SIS block copolymer films showed different surface texture when using the same type of substrate and different texture were obtained when SBS solutions were spun onto different substrates. It has been demonstrated that using different solvents in copolymer preparation caused different texture. Thermal and surface property variations with film thickness have been improved using amorphous polymers. Surface roughness of poly(methyl methacrylate) PMMA and disperse red 1-poly(methyl methacrylate) DR1-PMMA, PMMA has improved using thickness variation. Glass transition temperature measurement has increased when film thickness was increased. The glass transition temperature (Tg) and surface roughness of UV15 UV - curable coating polymer has been modified using UV curing and heating methods. Tg variation was observed when curing time and curing intensity were changed causing the optical properties of the polymer to be more variable. A plasma etcher caused wrinkles to occur on the surface of unheated UV15. Tg of UV15 increased when curing time increased. The Fourier Transform Infrared Spectroscopy (FTIR) spectra of cured UV15 film have shown peak variations of the ester and carbon double bond regions over the range of 1850-1700 cm-1. Urethane-Urea (UU) Polymer thin films were used to investigate optical properties and develop an optical waveguide. Absorption and transmission properties of light using non-linear optical (NLO) polymer was investigated and used in optical waveguide fabrication. Refractive indices were measured to examine UU films at two different wavelengths. A UU film of 1 µm thickness caused a maximum absorption at max = 471 nm also obtained at 810 nm wavelength. Many methods of fabrication were used; photolithography, plasma etching in a barrel reactor and thin film deposition using sputtering and evaporation. Etched depths from 1 μm to 100 μm were obtained. An optical waveguide has been prepared using plasma etching of a cured UV15 as a cladding layer on a silicon substrate.
64

Post Plasma Etch Residue Removal Using Carbon Dioxide Based Fluids

Myneni, Satyanarayana 06 November 2004 (has links)
As feature sizes in semiconductor devices become smaller and newer materials are incorporated, current methods for photoresist and post plasma etch residue removal face several challenges. A cleaning process should be environmentally benign, compatible with dielectric materials and copper, and provide residue removal from narrow and high aspect ratio features. In this work, sub-critical CO2 based mixtures have been developed to remove the etch residues; these mixtures satisfy the above requirements and can potentially replace the two step residue removal process currently used in the integrated circuit (IC) industry. Based on the chemical nature of the residue being removed, additives or co-solvents to CO2 have been identified that can remove the residues without damaging the dielectric layers. Using the phase behavior of these additives as a guide, the composition of the co-solvent was altered to achieve a single liquid phase at moderate pressures without compromising cleaning ability. The extent of residue removal has been analyzed primarily by x-ray photoelectron spectroscopy (XPS) and scanning electron microscopy (SEM). Various techniques such as attenuated total reflection - Fourier transform infrared (ATR-FTIR) spectroscopy, angle-resolved XPS (ARXPS), and interferometry were used to probe the interaction of cleaning fluids with residues. Model films of photoresists and plasma deposited residues were used to assist in understanding the mechanism of residue removal. From these studies, it was concluded that residue removal takes place primarily by attack of the interface between the residue and the substrate; a solvent rinse then lifts these residues from the wafer. It has been shown that transport of the additives to the interface is enhanced in the presence of CO2. From positronium annihilation lifetime spectroscopy (PALS) studies on a porous dielectric film, it has been shown that these high pressure fluids do not cause significant changes to the pore sizes or the bonding structure of the film. Hence, this method can be used to remove post etch residues from low-k dielectric films.
65

In situ monitoring of reactive ion etching using a surface micromachined integrated resonant sensor

Morris, Bryan George Oneal 18 August 2009 (has links)
This research explores a novel in-situ technique for monitoring film thickness in the reactive etching process that incorporates a micromachined sensor. The sensor correlates film thickness with changes in resonant frequency that occurs in the micromachined platform during etching. The sensor consists of a platform that is suspended over drive and sense electrodes on the surface of the substrate. As material is etched from the platform, its resonant vibrational frequency shifts by an amount that is proportional to the amount of material etched, allowing etch rate to be inferred. This RIE monitoring methodology exploits the accuracy of resonant micromechanical structures, whereby shifts in the fundamental resonant frequency measure a physical parameter. A majority of these systems require free-standing mechanical movement and utilize a sacrificial layer process as the key technique to develop and release the structure on a substrate. A sacrificial layer technique that incorporates a low temperature sacrificial polymer was utilized to develop and release the suspended RIE sensor with excellent performance and is capable of fabricating other low cost, high performance and reliable suspended MEMS devices. The integration of sensors and electronic circuitry is a dominant trend in the semiconductor industry, and much work and research has been devoted to this effort. The RIE sensor relies on capacitive transduction to detect small capacitance changes and the resulting change in resonant frequency during the RIE process. The RIE sensor's overall performance is limited by the interface circuit, and integration with the proper circuit allows the RIE sensor to function as a highly sensitive measure of etch rate during the RIE process. A capacitive feedback charge amplifier interface circuit, when configured with the RIE senor at the input achieves very low noise sensing of capacitance changes and offers the potential for wide dynamic range and high sensitivity. As an application vehicle, process control was demonstrated in the PlasmaTherm SLR series RIE system located in the Georgia Tech Microelectronics Research Center.
66

Vers une gravure plasma de précision nanométrique : simulations de dynamique moléculaire en chimie Si-Cl / Towards a nanometric precision etching in reactive plasmas : molecular dynamics simulations of Si-Cl interactions

Brichon, Paulin 10 March 2015 (has links)
Ce travail de thèse aborde le problème de la gravure de matériaux ultraminces pour la réalisation de nouvelles générations de transistors (FDSOI, FinFET) dans les dispositifs nanoélectroniques avancés. Ces transistors doivent être gravés avec une précision nanométrique pour ne pas endommager les propriétés électroniques des couches actives. Afin d'atteindre une telle précision, les dommages surfaciques et l'épaisseur des couches réactives formées lors de l'exposition plasma doivent être maintenus en-deçà du nanomètre, véritable défi auquel les plasmas ICP continus ne sont plus à même de répondre. Pour assister le développement de nouveaux procédés de gravure, des simulations de dynamique moléculaire ont été développées afin étudier l'influence de nouvelles technologies plasma (plasmas pulsés, plasma basse Te, gaz pulsés) sur les interactions entre silicium et plasmas chlorés. Les simulations montrent que l'énergie ionique (Eion) est le paramètre numéro un pour contrôler la gravure de couches de Si ultraminces, une diminution de l'énergie réduisant à la fois l'épaisseur de couche endommagée SiClx et le taux de gravure. Le rapport du flux de neutres sur flux d'ions (Γ) est le 2nd paramètre clé : son augmentation entraîne une diminution sensible l'épaisseur de couche perturbée tout en augmentant le taux de gravure. Quantitativement, cette étude montre que des plasmas caractérisés par de faibles énergies ioniques (< 15 eV) ou des rapports Γ importants (⩾ 1000) permettre d'obtenir des couches réactives d'épaisseur sub-nanométrique (cf. plasmas basse Te ou synchronisés pulsés). En mode "bias pulsé", les simulations montrent que pour une valeur Vbias donnée, pulser le bias permet de diminuer à la fois l'épaisseur de couche réactive et le taux de gravure. Cet effet est d'autant plus marqué que le rapport de cycle DC est faible, ce qui élargit la fenêtre des paramètres opératoires. Pour contrôler la gravure, une autre solution pourrait consister à contrôler l'épaisseur des couches réactives de manière dynamique. Inspiré de l'ALE (Atomic Layer Etching), ce nouveau concept consiste à pulser rapidement et alternativement différents gaz pour décomposer le procédé de gravure en cycles répétitifs de deux étapes plasma distinctes. La 1ère étape vise à limiter la formation de la couche mixte à 1nm d'épaisseur dans un plasma réactif (Cl2) en optimisant le temps d'injection du gaz; la 2nde étape vise à graver la couche ainsi formée dans un plasma de gaz rare (Ar, Xe) sans endommager le matériau sous-jacent. Nos simulations confirment la faisabilité et la répétabilité d'un tel concept. / This thesis focuses on technological challenges associated with the etching of ultrathin materials used for new generations of transistors (FDSOI, FinFET) in advanced nanoelectronics devices. These transistors must be etched with a nanometric precision in order to preserve the electronic properties of active layers. To reach such a precision, plasma-induced damage and reactive layers thicknesses formed during the etch must remain below 1nm, a challenge which cannot be addressed by continuous-waves ICP plasmas. To assist the development of new etching processes, molecular dynamics simulations have been developed to study the influence of new plasma technologies (pulsed plasmas, low-Te plasmas, gaz pulsing) on interactions between silicon and chlorine plasmas. Simulations show that the key parameter to control the etching of ultrathin Si layers is the ion energy (Eion), which lowers both the SiClx damaged layer thickness and the etch rate when it is decreased. The neutral-to-ion flux ratio (Γ) is the second key parameter: its increase strongly reduces the reactive layer thickness while the etch rate grows. Quantitatively, this study shows that plasmas with low ion energies (< 15 eV) and high Γ ratios (⩾ 1000) allow to obtain sub-nanometer thick reactive layers (cf. low-Te or synchronized pulsed plasmas). In « pulsed bias » mode, simulations show that for a given Vbias value, pulsing the bias decreases both the reactive layer thickness and the etch rate. This effect is stronger at low duty cycle DC, which can improve the control of the etching process. To control the etching of ultrathin films, another solution may be to control dynamically the reactive layers formation. Inspired from ALE (Atomic Layer Etching) principle, this new concept consists in pulsing quickly and alternatively several gases to divide the etching process into repetitive cycles of two distinct plasma steps. The first step aims to limit the mixed layer formation at 1nm in a reactive (Cl2) plasma by optimizing the gas injection time; the 2nd step aims to remove the so-formed layer in a noble gas plasma (Ar, Xe) without damaging the material below. Our simulations confirm the feasibility and the repeatability of such a concept.
67

Étude de la cinétique et des dommages de gravure par plasma de couches minces de nitrure d’aluminium

Morel, Sabrina 08 1900 (has links)
Une étape cruciale dans la fabrication des MEMS de haute fréquence est la gravure par plasma de la couche mince d’AlN de structure colonnaire agissant comme matériau piézoélectrique. Réalisé en collaboration étroite avec les chercheurs de Teledyne Dalsa, ce mémoire de maîtrise vise à mieux comprendre les mécanismes physico-chimiques gouvernant la cinétique ainsi que la formation de dommages lors de la gravure de l’AlN dans des plasmas Ar/Cl2/BCl3. Dans un premier temps, nous avons effectué une étude de l’influence des conditions opératoires d’un plasma à couplage inductif sur la densité des principales espèces actives de la gravure, à savoir, les ions positifs et les atomes de Cl. Ces mesures ont ensuite été corrélées aux caractéristiques de gravure, en particulier la vitesse de gravure, la rugosité de surface et les propriétés chimiques de la couche mince. Dans les plasmas Ar/Cl2, nos travaux ont notamment mis en évidence l’effet inhibiteur de l’AlO, un composé formé au cours de la croissance de l’AlN par pulvérisation magnétron réactive et non issu des interactions plasmas-parois ou encore de l’incorporation d’humidité dans la structure colonnaire de l’AlN. En présence de faibles traces de BCl3 dans le plasma Ar/Cl2, nous avons observé une amélioration significative du rendement de gravure de l’AlN dû à la formation de composés volatils BOCl. Par ailleurs, selon nos travaux, il y aurait deux niveaux de rugosité post-gravure : une plus faible rugosité produite par la présence d’AlO dans les plasmas Ar/Cl2 et indépendante de la vitesse de gravure ainsi qu’une plus importante rugosité due à la désorption préférentielle de l’Al dans les plasmas Ar/Cl2/BCl3 et augmentant linéairement avec la vitesse de gravure. / A crucial step in the fabrication of high-frequency MEMS is the etching of the columnar AlN thin film acting as the piezoelectric material. Realized in close collaboration with researchers from Teledyne Dalsa, the objective of this master thesis is to better understand the physico-chemical mechanisms driving the etching kinetics and damage formation dynamics during etching of AlN in Ar/Cl2/BCl3 plasmas. In the first set of experiments, we have studied the influence of the operating parameters of an inductively coupled plasma on the number density of the main etching species in such plasmas, namely positive ions and Cl atoms. These measurements were then correlated with the etching characteristics, in particular the etching rate, the surface roughness, and the chemical properties of the AlN layer after etching. In Ar/Cl2 plasmas, our work has highlighted the inhibition effect of AlO, a compound formed during the AlN growth by reactive magnetron sputtering and not from plasma-wall interactions or from the incorporation of moisture in the columnar nanostructure of AlN. In presence of small amounts of BCl3 in the Ar/Cl2 plasma, we have observed a significant increase of the etching yield of AlN due to the formation of volatile BOCl compounds. Furthermore, our work has demonstrated that there are two levels of roughness following etching: a lower roughness produced by the presence of AlO in Ar/Cl2 plasmas which is independent of the etching rate and a larger roughness due to preferential desorption of Al in Ar/Cl2/BCl3 plasmas which increases linearly with the etching rate.
68

Vers une gravure plasma de précision nanométrique : simulations de dynamique moléculaire en chimie Si-Cl / Towards a nanometric precision etching in reactive plasmas : molecular dynamics simulations of Si-Cl interactions

Brichon, Paulin 10 March 2015 (has links)
Ce travail de thèse aborde le problème de la gravure de matériaux ultraminces pour la réalisation de nouvelles générations de transistors (FDSOI, FinFET) dans les dispositifs nanoélectroniques avancés. Ces transistors doivent être gravés avec une précision nanométrique pour ne pas endommager les propriétés électroniques des couches actives. Afin d'atteindre une telle précision, les dommages surfaciques et l'épaisseur des couches réactives formées lors de l'exposition plasma doivent être maintenus en-deçà du nanomètre, véritable défi auquel les plasmas ICP continus ne sont plus à même de répondre. Pour assister le développement de nouveaux procédés de gravure, des simulations de dynamique moléculaire ont été développées afin étudier l'influence de nouvelles technologies plasma (plasmas pulsés, plasma basse Te, gaz pulsés) sur les interactions entre silicium et plasmas chlorés. Les simulations montrent que l'énergie ionique (Eion) est le paramètre numéro un pour contrôler la gravure de couches de Si ultraminces, une diminution de l'énergie réduisant à la fois l'épaisseur de couche endommagée SiClx et le taux de gravure. Le rapport du flux de neutres sur flux d'ions (Γ) est le 2nd paramètre clé : son augmentation entraîne une diminution sensible l'épaisseur de couche perturbée tout en augmentant le taux de gravure. Quantitativement, cette étude montre que des plasmas caractérisés par de faibles énergies ioniques (< 15 eV) ou des rapports Γ importants (⩾ 1000) permettre d'obtenir des couches réactives d'épaisseur sub-nanométrique (cf. plasmas basse Te ou synchronisés pulsés). En mode "bias pulsé", les simulations montrent que pour une valeur Vbias donnée, pulser le bias permet de diminuer à la fois l'épaisseur de couche réactive et le taux de gravure. Cet effet est d'autant plus marqué que le rapport de cycle DC est faible, ce qui élargit la fenêtre des paramètres opératoires. Pour contrôler la gravure, une autre solution pourrait consister à contrôler l'épaisseur des couches réactives de manière dynamique. Inspiré de l'ALE (Atomic Layer Etching), ce nouveau concept consiste à pulser rapidement et alternativement différents gaz pour décomposer le procédé de gravure en cycles répétitifs de deux étapes plasma distinctes. La 1ère étape vise à limiter la formation de la couche mixte à 1nm d'épaisseur dans un plasma réactif (Cl2) en optimisant le temps d'injection du gaz; la 2nde étape vise à graver la couche ainsi formée dans un plasma de gaz rare (Ar, Xe) sans endommager le matériau sous-jacent. Nos simulations confirment la faisabilité et la répétabilité d'un tel concept. / This thesis focuses on technological challenges associated with the etching of ultrathin materials used for new generations of transistors (FDSOI, FinFET) in advanced nanoelectronics devices. These transistors must be etched with a nanometric precision in order to preserve the electronic properties of active layers. To reach such a precision, plasma-induced damage and reactive layers thicknesses formed during the etch must remain below 1nm, a challenge which cannot be addressed by continuous-waves ICP plasmas. To assist the development of new etching processes, molecular dynamics simulations have been developed to study the influence of new plasma technologies (pulsed plasmas, low-Te plasmas, gaz pulsing) on interactions between silicon and chlorine plasmas. Simulations show that the key parameter to control the etching of ultrathin Si layers is the ion energy (Eion), which lowers both the SiClx damaged layer thickness and the etch rate when it is decreased. The neutral-to-ion flux ratio (Γ) is the second key parameter: its increase strongly reduces the reactive layer thickness while the etch rate grows. Quantitatively, this study shows that plasmas with low ion energies (< 15 eV) and high Γ ratios (⩾ 1000) allow to obtain sub-nanometer thick reactive layers (cf. low-Te or synchronized pulsed plasmas). In « pulsed bias » mode, simulations show that for a given Vbias value, pulsing the bias decreases both the reactive layer thickness and the etch rate. This effect is stronger at low duty cycle DC, which can improve the control of the etching process. To control the etching of ultrathin films, another solution may be to control dynamically the reactive layers formation. Inspired from ALE (Atomic Layer Etching) principle, this new concept consists in pulsing quickly and alternatively several gases to divide the etching process into repetitive cycles of two distinct plasma steps. The first step aims to limit the mixed layer formation at 1nm in a reactive (Cl2) plasma by optimizing the gas injection time; the 2nd step aims to remove the so-formed layer in a noble gas plasma (Ar, Xe) without damaging the material below. Our simulations confirm the feasibility and the repeatability of such a concept.
69

Développement de procédés de gravure plasma innovants pour les technologies sub-14 nm par couplage de la lithographie conventionnelle avec l'approche auto-alignée par copolymère à blocs / Development of innovating plasma etching processes for sub 14nm nodes by coupling conventionnal lithography with auto aligned approach based on block copolymer

Bézard, Philippe 29 January 2016 (has links)
Le coût de la poursuite de la miniaturisation des transistors en-dessous de 14 nm demande l’introductionde techniques moins onéreuses comme l’approche auto-alignée par copolymères à blocs (DSA) combinéeà la lithographie 193 nm. Etudiée principalement pour des motifs de tranchées (pour les FinFETs)ou de cylindres verticaux (pour les trous de contact, c’est ce cas qui nous intéressera), le polystyrène-bpolyméthylmétacrylate(PS-b-PMMA) est un des copolymères à blocs les plus étudiés dans la littérature,et dont la gravure présente de nombreux défis dûs à la similarité chimique des deux blocs PS et PMMA.Proposer une solution à ces défis est l’objet de cette thèse.Dans notre cas où le PS est majoritaire, le principe est d’obtenir par auto-organisation des cylindresverticaux de PMMA dans un masque de PS. Le PMMA est ensuite retiré par solvant ou par plasma,les motifs ainsi révélés dans le PS peuvent être alors transférés en utilisant ce dernier comme masque degravure. Une couche de copolymères statistiques PS-r-PMMA neutralise les affinités du PS/PMMA avecle substrat et permet l’auto-organisation.Un des problèmes majeurs est le contrôle des dimensions ; traditionnellement, le PMMA est retiré paracide acétique et le PS-r-PMMA gravé par plasma d’Ar/O2 qui aggrandit le diamètre des trous (CD)en consommant lattéralement trop de PS. Des temps de recuit acceptables pour l’Industrie donnent ausommet du masque de PS une forme de champignon induisant une dispersion importante des diamètresmesurés (~4-5 nm).Nos travaux montrent que la dispersion de CD peut être corrigée par plasma en facettant le sommetdes motifs. Dans un premier temps, un procédé de retrait du PMMA par plasma continu de H2N2 a étédéveloppé afin de s’affranchir des problèmes induits par l’acide acétique et les plasmas à base d’O2. Cecia permis de révéler des défauts d’organisation non rapportés dans la littérature à notre connaissance : desfilms de PS de quelques nanomètres peuvent aléatoirement se trouver dans le domaine du PMMA et ainsibloquer la gravure de certains cylindres. Afin de graver ces défauts sans perdre le contrôle des dimensions,un procédé composé d’un bain d’acide acétique et d’un plasma synchronisé pulsé de H2N2 à faible rapportde cycle et à forte énergie de bombardement a été mis au point. Il permet de retirer le PMMA, facetterle sommet du PS (ce qui réduit la dispersion de CD à moins de 2 nm), graver les défauts et la couche deneutralisation tout en limitant l’agrandissement des trous à moins d’un nanomètre. La dernière difficultévient des dimensions agressives et du rapport d’aspect important des trous de contact gravés. Afin delimiter la gravure latérale et la consommation des masques, des couches de passivation sont déposées surles flancs des motifs pendant la gravure mais à des échelles inférieures à 15 nm, ces couches de quelquesnanomètres sont trop épaisses et nuisent au contrôle des dimensions. Les plasmas doivent être alors moinspolymérisants et la création d’oxydes sur les flancs par ajout d’O2 doit être évitée.Enfin, les techniques de détermination des dimensions à partir d’images SEM ne sont plus assezrobustes à ces échelles. Afin d’en améliorer la robustesse, des algorithmes de reconstruction d’image etd’anti-aliasing ont été implémentés. / Shrinking transistor’s dimensions below 14 nm is so expensive that lower-cost complementary techniquessuch as Directed Self-Assembly (DSA) combined with 193 nm-lithography are currently beingdeveloped. Either organized as trenches for the FinFET’s fin or vertical cylinders for contact holes(which is our case study), Polystyrene-b-polymethylmetacrylate (PS-b-PMMA) is a well-studied blockcopolymer but introduces challenging etching issues due to the chemical similarities between the PS andPMMA blocks. The aim of this thesis is to overcome those etching challenges.In our case where PS is the dominant phase, the principle of DSA is to obtain through self-assemblya pattern of vertical cylinders of PMMA inside a mask constituted of PS. PMMA is then removed eitherby solvent or plasma, revealing the patterns in the PS mask, which will be used as an etching mask forpattern transfer. In order to allow self-assembly, a thin brush layer of random copolymers PS-r-PMMAis used to neutralize the affinity of each phase with the substrate.One of the main issues with DSA is the control of the dimensions (CD control): usually, PMMAis dissolved in acetic acid bath and the brush layer is etched by an Ar/O2 plasma which increasesdramatically the pore’s diameter (CD) by laterally etching the PS. Short duration of thermal annealingsuitable for the Industry induces some “mushroom” shape at the top of the mask which consequentlyincreases the measured CD dispersion (~ 4-5 nm).Our work shows that CD uniformity can be corrected by faceting the top of the patterns throughplasma etching. As a first step, a dry-etch process for PMMA based on H2N2 chemistry has beendeveloped in order to free ourselves from acetic-acid’s and O2-based plasma’s issues. As far as we know,the discovered kind of defects has never been reported in the literature: few nanometer-thick films madeof PS can randomly be found in the PMMA’s domain, thus delaying the etching of random cylinders. Inorder to etch those defects without loosing the CD control, an other process constituted of an acetic acidbath followed by a synchronously-pulsed H2N2 plasma at low duty cycle and high bias power has beendeveloped. This process removes PMMA, facets the top of the PS features (decreasing CD dispersionbelow 2 nm), etches both the defects mentionned above and the brush layer without increasing thepores’ diameters by more than one nanometer. One last etching challenge comes from the aggressivedimensions and the high aspect ratio of the contact holes. In order to limit the lateral etching and themask consumption overall, passivation’s layer are usually deposed on the sidewall of the features duringthe etching process, but at dimensions below 15 nm, those layers are too thick and cause a CD control lossthough they are only few-nanometer thick. The polymerization’s capacity of plasmas has to be loweredat this scale and oxidized layer’s formation by adding O2 to the plasma chemistry has to be avoided.Last but not least, the techniques based on SEM images to determine the pore’s dimensions are notrobust enough at those scales. In order to gain in robustness, image reconstruction and anti-aliasingalgorithm have been implemented.
70

Développement d'une nouvelle technique d'analyse pour les nanosctructures gravées par plasmas : (S)TEM EDX quasi in-situ / Development of a new analysis technique for plasma etched nanostructures : Quasi in-situ TEM EDX characterization

Serege, Matthieu 14 December 2017 (has links)
Avec la diminution perpétuelle des dimensions des circuits intégrés, la gravure de dispositifs à l’échelle nanométrique constitue un véritable défi pour les procédés plasma qui montrent des limites dans le cas d’empilement de couches minces notamment. L’anisotropie de gravure réside sur la formation de couches de passivations sur les flancs des motifs, qui agissent comme film protecteur bloquant la gravure latérale par les radicaux du plasma. Cependant, cette fine couche est responsable de l’apparition de pente dans les profils gravés et il est difficile de contrôler son épaisseur. De plus, une deuxième couche réactive est aussi formée en fond de motifs. Les produits de gravures sont formés au sein de cette couche permettant d’augmenter la vitesse de gravure du substrat. Il a récemment été admis que contrôler l’épaisseur de ces couches réactives constitue le paramètre clé pour obtenir une gravure à très haute sélectivité.Cependant, les couches réactives à analyser hautement réactives, en raison de leur forte concentration en halogènes, s’oxydent immédiatement lors de la remise à l’air.Cette étude se propose de développer une approche originale, simple et extrêmement puissante pour observer ces couches de passivation quasi in-situ (sans contact avec l’air ambiant) : Apres gravure, l’échantillon est transporté sous vide à l’intérieur d’une valise spécifique jusqu’à une enceinte de dépôt, où il est alors encapsulé par une couche métallique (PVD assisté par magnétron). L’échantillon ainsi encapsulé peut être observé ex-situ sans modification chimique grâce à des analyses STEM EDX. / As the size of integrated circuit continues to shrink, plasma processes are more and more challenged and show limitations to etch nanometer size features in complex stacks of thin layers. The achievement of anisotropic etching relies on the formation of passivation layers on the sidewalls of the etched features, which act like a protective film that prevents lateral etching by the plasma radicals. However, this layer also generate a slope in the etch profile and it’s difficult to control its thickness. Another thin layer called “reactive layer” is also formed at the bottom of the features. Etch products are formed in this layer allowing a high etch rate of the substrate. It starts to be realized that controlling the thickness of this reactive layer is the key to achieve very high selective processes.However, the layers to be analyzed are chemically highly reactive because they contain large concentrations of halogens and they get immediately modified (oxidized) when exposed to ambient atmosphere.In this work we develop an original, simple and extremely powerful approach to observe passivation layers quasi in-situ (i.e. without air exposure): After plasma etching, the wafer is transported under vacuum inside an adapted suitcase to a deposition chamber where it is encapsulated by a metallic layer (magnetron sputtering PVD). Then, the encapsulated features can be observed ex situ without chemical / thickness modification thanks to (S)TEM-EDX analysis.

Page generated in 0.6651 seconds