• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 37
  • 18
  • 9
  • 3
  • 1
  • 1
  • Tagged with
  • 85
  • 85
  • 22
  • 15
  • 14
  • 11
  • 10
  • 9
  • 8
  • 8
  • 7
  • 6
  • 6
  • 6
  • 6
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
51

Lithographie à très haute résolution par l'auto-assemblage du PS-b-PDMS et les gravures plasma associées : application à la fabrication de matrices de nanorubans de graphène / Advanced lithography by self-assembly of PS-b-PDMS and associated plasma ething : application to the fabrication of functional graphene nanoribbons arrays

Arias zapata, Javier 19 January 2018 (has links)
Les copolymères à bloc (BCP) ont la propriété particulière de s’auto-assembler en structures périodiques. Ces macromolécules en association avec la photolithographie est un candidat prometteur à utiliser comme technique alternative pour les patterning avancé de très haute résolution. De cette façon, la réduction des circuits intégrés peut être maintenue. Les BCPs avec une forte incompatibilité chimique entre les deux blocs présentent une valeur élevée du paramètre d’interaction de Flory-Huggins χ. La théorie des BCPs prédit des caractéristiques périodiques de seulement quelques nanomètres avec des BCPs à haut valeur d’interaction.Cette thèse présente un dispositif expérimental en vue du développement d’une lithographie à BCPs de deuxième génération en utilisant le polystyrène-bloc polydiméthylsiloxane (PS-b-PDMS), contre le polystyrène-bloc-Polydi(méthyle méthacrylate) (PS-b-PMMA) à faible valeur de χ. Sur ce sujet, la cinétique d’auto-assemblage d’un PS-b-PDMS avec une valeur du paramètre de segregation χN élevée a été amélioré avec le mélange de plastifiants sélectifs au bloc PS. L’auto-assemblage sur des grandes surfaces a été alors prouvé par un recuit thermique rapide (~ 30 s). Comme une preuve de concept de la lithographie, certains masques PS-b-PDMS testés ont été transférés sur Si, où des caractéristiques allant jusqu’à 25 nm ont été atteintes.Le principe de la lithographie par BCP a également été utilisé pour montrer la structuration de matériaux 2D. Par exemple, le graphène présente un besoin réel de structuration en nanostructures très étroites afin d’ouvrir un gap entre la bande de valence et la bande conduction pour modifier ses propriétés électriques par confinement quantique.Un bas Le PS-b-PDMS a été utilisé pour patterner avec de tailles caractéristiques de 10 nm. Le BCP est déposé par centrifugation et recuit directement sur le graphène.L’auto-assemblage sur de grandes surfaces (1 cm²) est réalisé en quelques minutes et le masque est ensuite transféré vers le graphène par gravure plasma à base d’oxygène, où dans une seule étape la matrice PS est éliminé, les cylindres PDMS oxydés et le graphène gravé. De grandes surfaces de nanorubans de 11 nm de largeur (GNR) ont été fabriquées par la lithographie de l’auto-assemblage du PS-b-PDMS. Un nettoyage au plasma H2 a également été effectué afin d’éliminer les contaminants organiques apparaissant lors des étapes de fabrication. Des techniques différentes pour l’analyse du carbone telles que la spectroscopie photoélectronique de rayons X, la spectroscopie Raman et la microscopie à force atomique ont été utilisées pour montrer la haute qualité des GNR.La caractérisation électrique des GNRs tels que la mobilité et l’ouverture du gap dans le graphène ont également été mesurés pour confirmer le comportement électronique des nanorubans de graphène. Des valeurs de l’ordre de 150 cm²/V s et 30 meV ont été mesurées. L’ensemble de la procédure expérimentale a été réalisé dans le cadre des réglèmentations de salles blanches pour la microélectronique, puis les processus d’auto-assemblage des BCPs proposés sont évolutifs et peu coûteux et sont bien adaptés pour être intégrés aux techniques existantes de fabrication de semi-conducteurs. / The Block copolymers (BCPs) have the particular property of self-assemble into ordered periodical structures. These macromolecules in association with the classic photolithography, is a promising candidate to be used as an alternative technique for the advanced patterning. This way, the downsizing of the integrated circuits can be kept up. BCPs with high chemical incompatibility between their blocks exhibit a high value of the Flory-Huggins interaction parameter χ. The BCP theory predicts periodical features sizes with high-χ; BCPs of only few nanometers.The BCP lithography principe was also used to show the patterning of 2D materials. For exemple, graphene present a real needs of patterning into very narrow nanostructures to open up a bandgap to switch its electrical properties by quantum confinement. A low χN PS-b-PDMS was used to pattern ~ 10 nm features. BCP is spin-coated and annealed directly on graphene. Self-assembly on large surfaces (1 cm²) is achieved in few minutes and the mask is then transferred on graphene by oxygen-based plasma etching, where in a single step will eliminate the PS matrix, oxidized the PDMS cylinders and etch the graphene. Large surfaces of 11nm-width Graphen nanoribbons (GNRs) were fabricated by the self-assembly of PS-b-PDMS. Dry H2 plasma cleaning was also performed to remove organic contaminants appearing during the fabrication steps. Different analysis techniques of carbon such as Raman and X-ray photoelectron spectroscopy and atomic force microscopy were used to show the high chemical quality of the GNRs.Electrical characterization of the GNRs such as mobility and the bandgap openingin graphene were measured also to confirm the electronic behavior of the graphene nanoribbons. Values of the order of 150 cm²/V s and 30 meV were measured. The entire procedure was realized under microelectronics clean room requirement, then, the BCP self-assembly processes proposed are scalable and low cost, and is well-suited for integration with existing semiconductor fabrication techniques.The lithographical procedure developed in this investigation could also be generalized to fabricate different graphene nanostructures such as graphene nanomeshes or quantum dots that could be envisaged for other applications in functional devices. GNRs on large surfaces are expect to find a broad ranges of applications, in the fields of electrochemical and bioanalysis.
52

GaN Nanopore Arrays: Fabrication and Characterization

Wang, Yadong, Peng, Chen, Sander, Melissa, Chua, Soo-Jin, Fonstad, Clifton G. Jr. 01 1900 (has links)
GaN nanopore arrays with pore diameters of approximately 75 nm were fabricated by inductively coupled plasma etching (ICP) using anodic aluminum oxide (AAO) films as etch masks. Nanoporous AAO films were formed on the GaN surface by evaporating an Al film onto a GaN epilayer and subsequently anodizing the aluminum. To minimize plasma-induced damage, the template was exposed to CF4-based plasma conditions. Scanning electron microscopy (SEM) analysis shows that the diameter and the periodicity of the nanopores in the GaN were directly transferred from the original anodic alumina template. The pore diameter in the AAO film can be easily controlled by tuning the anodization conditions. Atomic force microscopy (AFM), photoluminescence (PL) and micro-Raman techniques were employed to assess the quality of the etched GaN nanopore surface. Such a cost-effective method to produce nano-patterned GaN template would be useful for growth and fabrication of III-Nitrides based nanostructures and photonic band gap materials. / Singapore-MIT Alliance (SMA)
53

Development of micromachined millimeter wave modules for wireless communication systems

Li, Yuan 11 May 2010 (has links)
This research discusses the design, fabrication, integration, and characterization of micromachined millimeter-wave components and a signal source for THz multiplier source using the deep reactive ion etching technique. A wide range of advanced micromachined millimeter-wave components are proposed and fully validated with the measurement. These micromachined millimeter-wave passives include: the W-band straight and meander waveguides, W-band three-pole filter, waveguide hybrid and power divider, a novel CPW-to-waveguide transition and filter, and a novel cavity resonator for 60-GHz reconfigurable applications. The proposed THz multiplier source is a broadband 900-GHz silicon micromachined two-anode frequency tripler with the state-of-the-art performance. The research results enable the silicon micromachining technique to build low-loss and low-cost millimeter-wave components and THz signal sources.
54

Modificação da molhabilidade da celulose por processos subsequentes de ablação e deposição a plasma / Modification of cellulose wettability by subsequent processes of ablation and film deposition by plasma

Camargo, Janine Sanches Gonzaga de 14 March 2017 (has links)
Submitted by Milena Rubi (milenarubi@ufscar.br) on 2017-08-16T16:54:47Z No. of bitstreams: 1 CAMARGO_Janine_2017.pdf: 19878018 bytes, checksum: 517c1918bf6801b10fe87b0d806d8a50 (MD5) / Approved for entry into archive by Milena Rubi (milenarubi@ufscar.br) on 2017-08-16T16:55:38Z (GMT) No. of bitstreams: 1 CAMARGO_Janine_2017.pdf: 19878018 bytes, checksum: 517c1918bf6801b10fe87b0d806d8a50 (MD5) / Approved for entry into archive by Milena Rubi (milenarubi@ufscar.br) on 2017-08-16T16:55:44Z (GMT) No. of bitstreams: 1 CAMARGO_Janine_2017.pdf: 19878018 bytes, checksum: 517c1918bf6801b10fe87b0d806d8a50 (MD5) / Made available in DSpace on 2017-08-16T16:55:50Z (GMT). No. of bitstreams: 1 CAMARGO_Janine_2017.pdf: 19878018 bytes, checksum: 517c1918bf6801b10fe87b0d806d8a50 (MD5) Previous issue date: 2017-03-14 / Coordenação de Aperfeiçoamento de Pessoal de Nível Superior (CAPES) / Cellulose is a biopolymer available in abundance in nature, which presents very interesting properties mainly for the textile, packaging and paper industry. However, due to its strongly hydrophilic character, resulting from the presence of a large number of free hydroxyl groups in its molecule, its use in certain areas becomes limited. In order to promote the modification of the wetting characteristic of the cellulose, and make it superhydrophobic, samples of this material were submitted to plasma processes performed in two steps: ablation and film deposition. Initially, the effect of variation of ablation time on the creation of adequate surface topography was studied. For this, the samples were exposed to the oxygen plasma at a pressure of 13 Pa and power of 150 W, varying the treatment time from 5 to 60 minutes. Then, the treated samples were submitted to the process called PECVD (Plasma Enhanced Chemical Vapor Deposition), in which a film was deposited on the surface from the precursor hexamethyldisiloxane (HMDSO) in the presence of argon, in a ratio of 70 e 30%, respectively. The deposition time was set to 30 minutes and the power applied was 150 W. In a second investigation, the effect of the thickness of the deposited film was studied. In this case, the cellulose samples were previously exposed to the oxygen ablation plasma for 60 minutes and then led to the PECVD process, with the deposition time varying from 5 to 30 minutes. In a third investigation, the duration of the ablation and deposition steps was reduced to 30 and 1 minute, respectively, in order to optimize the methodology. The samples were characterized by Scanning Electron Microscopy (SEM), Energy Dispersive Spectroscopy (EDS), Fourier Transform Infrared Spectroscopy (FTIR), profilometry, static contact angle measurements and hysteresis and sliding angle measurements. The results demonstrated that longer exposure times for the ablation step provide a significant modification in the material, through the creation of nanoscale structures on the surface of the fibers. The deposition step promoted the formation of films with organosilicon character on the cellulose surface, with thicknesses varying between 144 and 910 nm. The combination of the topography created after 30 minutes of ablation with the thin film resulting from the deposition step for 1 minute provided a surface with low receptivity for both polar (? = 150°) and nonpolar (? ~ 120°) liquids, whose wettability property remained stable with treatment aging time. The low values obtained for hysteresis (9°) and sliding angle (7°) confirm the creation of a superhydrophobic roll-off surface. / A celulose é um biopolímero disponível em abundância na natureza e que possui propriedades bastante interessantes principalmente para a indústria têxtil, de embalagens e papel. No entanto, devido ao seu caráter fortemente hidrofílico, proveniente da presença de um grande número de grupos hidroxila livres em sua molécula, sua utilização em determinadas áreas se torna limitada. Com o objetivo de promover a modificação da característica de molhabilidade da celulose, de modo a torná-la superhidrofóbica, amostras deste material foram submetidas a processos a plasma realizados em duas etapas: ablação e deposição de filme. Inicialmente, estudou-se o efeito da variação do tempo de ablação na criação da topografia adequada da superfície. Para isto, as amostras foram expostas ao plasma de oxigênio a uma pressão de 13 Pa e 150 W de potência, variando-se o tempo de tratamento de 5 a 60 minutos. Em seguida, as amostras tratadas foram submetidas ao processo denominado PECVD (Plasma Enhanced Chemical Vapor Deposition), no qual foi depositado um filme sobre a superfície das mesmas, a partir do precursor hexametildisiloxano (HMDSO) na presença de argônio, numa proporção de 70 e 30%, respectivamente. O tempo de deposição foi fixado em 30 minutos e a potência aplicada foi de 150 W. Numa segunda investigação, foi estudado o efeito da espessura do filme depositado. Neste caso, as amostras de celulose foram previamente expostas ao plasma de ablação com oxigênio durante 60 minutos e posteriormente ao processo de PECVD, variando-se o tempo de deposição de 5 a 30 minutos. Numa terceira investigação, o tempo de duração das etapas de ablação e deposição foi reduzido para 30 e 1 minuto, respectivamente, com o intuito de otimizar a metodologia. As amostras foram caracterizadas por Microscopia Eletrônica de Varredura (MEV), Espectroscopia de Energia Dispersiva (EDS), Espectroscopia de Absorção no Infravermelho por Transformada de Fourier (FTIR), perfilometria, medição de ângulo de contato estático e medição de histerese e ângulo de deslizamento. Os resultados demonstraram que maiores tempos de exposição à etapa de ablação proporcionam uma modificação significativa no material, por meio da criação de estruturas em nanoescala na superfície das fibras. A etapa de deposição promoveu a formação de filmes de caráter organosilicone sobre a superfície da celulose, com espessuras variando entre 144 e 910 nm. A combinação entre a topografia criada após 30 minutos de ablação e o filme de menor espessura resultante da etapa de deposição durante 1 minuto, possibilitaram a obtenção de uma superfície de baixa receptividade tanto a líquidos polares (? =150°) quanto apolares (? ~120°), cuja propriedade de molhabilidade se manteve estável com o tempo de envelhecimento. Os baixos valores de histerese (9°) e ângulo de deslizamento (7°) obtidos confirmam a criação de uma superfície superhidrofóbica do tipo “roll-off”.
55

Développement de procédés de gravure à base de plasmas réactifs pulsés Pulsed plasmas for etch applications / Pulsed Plasmas for Etch Applications

Haass, Moritz 06 November 2012 (has links)
Du fait de la réduction des dimensions en microélectronique, les procédés de gravure par plasmas ne peuvent plus satisfaire aux exigences de l'industrie. De nouvelles stratégies sont en cours de développement. Ce travail consiste en l'étude de plasmas pulsés de HBr/O2 comme une alternative pour la gravure du silicium. Divers diagnostics dans un réacteur industriel 300 mm sont utilisés pour caractériser le plasma tandis que la gravure du silicium est étudiée par XPS et par microscopie électronique. Lorsque le plasma est pulsé à faible rapport cyclique, sa température et sa dissociation sont fortement réduits. Le flux de Br radicalaire par rapport à la période ON du plasma augmente tandis que l'influence du radical O diminue, ce qui conduit à une amélioration de la sélectivité par rapport au SiO2 et à une gravure plus homogène. Les profils des structures gravées peuvent être contrôlés par la formation de la couche de passivation sur les flancs dépendant également du rapport cyclique. / The continuous downscaling in microelectronics imposes increasing demands on the plasma processes and traditional ways for process optimization reach their limits. New strategies are needed and innovations in the field of plasma processes are being developed: e.g. the use of pulsed plasmas. In this thesis, a pulsed HBr/O2 etch plasma is studied. Various in-situ diagnostics are used to characterize pulsed plasmas in an industrial 12” etch reactor. The silicon etching is investigated by XPS and electron microscopy. We show that the plasma dissociation and temperature are reduced if the plasma is pulsed at low duty cycles. The Br radical flux with respect to the on-time of the plasma is increased and the influence of the O radical is decreased, leading to enhanced time compensated silicon etch rates, a higher selectivity towards SiO2 and a more homogeneous etching. The pattern profiles can be controlled via the sidewall passivation layer formation that is closely linked to the duty cycle.
56

Design, Fabrication and Testing of Novel III-V Waveguides Architectures for Nonlinear Integrated Photonic Applications

Vyas, Kaustubh 14 September 2022 (has links)
III-V semiconductors are compounds made of elements from groups III and V of the periodic table. Most of these materials exhibit a direct bandgap, which makes them suitable for light emission and detection. Furthermore, ternary and quaternary III-V semiconductors offer some freedom in adjusting their material compositions, which also allows one to modify their bandgap energies, refractive indices, and other optical properties. This quality makes such materials suitable for the monolithic integration of laser sources with passive optical devices and detectors on a single chip. For example, such integration is used in indium phosphide (InP) technology for large-scale photonic integration in optical communication networks. Commercial integrated photonic circuits' functionality can be augmented by the implementation of nonlinear optical devices, enabling all-optical signal processing, frequency conversion, and on-chip sources of quantum light. This doctoral thesis focuses on design, fabrication, and testing of passive optical components based on III-V semiconductors. We explored various fabrication approaches for III-V nonlinear photonic devices. Among the III-V semiconductor platforms used in nonlinear photonics, we focused on AlGaAs as the most studied nonlinear optical platform, and InP and its quaternary derivatives as the most commercially developed platform. The fabrication processes for III-V photonic devices usually require the deposition of silica and chromium layers, and then three etch steps to etch the chromium, silica, and, finally, the III-V layer. In the thesis, we demonstrate a process which allows one to eliminate the chromium deposition and the associated etch step, thereby reducing the process complexity. We implemented this newly developed hard-mask process for etching numerous AlGaAs and InP photonic devices. This work was not only an important contribution to the University of Ottawa's cleanroom facility. The shared recipe can be used to recreate etch recipes for silica using soft masks like ZEP520a, PMMA, etc., at other similar university and research facilities around the world. The silica mask created using this process was later used to fabricate InP/InGaAsP-based half-core-etched and nanowire waveguides, which were used to perform the first reliable measurement of the nonlinear refractive index coefficient n₂ of InGaAsP/InP waveguides. We explored improved fabrication processes for AlGaAs waveguides, photonic crystals, and ring resonators. InP-based integrated optical devices are relatively difficult to fabricate because the etch byproducts are only volatile at elevated temperatures. Using a silica mask, we developed a very smooth etching process for InP waveguides with aspect ratios greater than 1:10. Suspended waveguide structures, where the guiding layer is surrounded by the air, are of great interest as they can exhibit large refractive index contrast for superior compactness and for achieving high intensity at low optical powers. We demonstrated fabrication process flows for creating suspended air-bridge structures in a 500-nm AlGaAs slab, which can be used in mid-IR sensing applications. The processes developed as part of this project cover a wide range of AlGaAs passive photonic devices such as waveguides, photonic crystals and ring resonators. Additionally, we demonstrated plasma etching selectivity improvements for AlGaAs etching using only a soft ZEP mask and were able to achieve a selectivity of 1:2.9. All these developments can be beneficial to other researchers working on III-V photonic devices. We also completed the first theoretical study of third-harmonic generation in dispersion-engineered AlGaAs suspended photonic crystal waveguide. Most importantly, we introduced a reliable and efficient method for modelling higher-order modes in photonic crystal waveguides that is less computationally intensive and far more accurate compared to the 3D FDTD method. We also experimentally demonstrate guided modes lying above the light line in AlGaAs photonic crystal waveguides. In one of the addition projects, we experimentally demonstrate third-harmonic generation (THG) in Silicon Nitride waveguides. In summary, this thesis presents details of the design and testing of different passive nonlinear III-V semiconductor photonic devices. In addition, this thesis presents the fabrication processes which can be used to reliably and repeatably fabricate photonic devices in these materials.
57

Développement de procédés technologiques pour une intégration 3D monolithique de dispositifs nanoélectroniques sur CMOS

Lee Sang, Bruno January 2016 (has links)
Résumé : Le transistor monoélectronique (SET) est un dispositif nanoélectronique très attractif à cause de son ultra-basse consommation d’énergie et sa forte densité d’intégration, mais il n’a pas les capacités suffisantes pour pouvoir remplacer complètement la technologie CMOS. Cependant, la combinaison de la technologie SET avec celle du CMOS est une voie intéressante puisqu’elle permet de profiter des forces de chacune, afin d’obtenir des circuits avec des fonctionnalités additionnelles et uniques. Cette thèse porte sur l’intégration 3D monolithique de nanodispositifs dans le back-end-of-line (BEOL) d’une puce CMOS. Cette approche permet d’obtenir des circuits hybrides et de donner une valeur ajoutée aux puces CMOS actuelles sans altérer le procédé de fabrication du niveau des transistors MOS. L’étude se base sur le procédé nanodamascène classique développé à l’UdeS qui a permis la fabrication de dispositifs nanoélectroniques sur un substrat de SiO2. Ce document présente les travaux réalisés sur l’optimisation du procédé de fabrication nanodamascène, afin de le rendre compatible avec le BEOL de circuits CMOS. Des procédés de gravure plasma adaptés à la fabrication de nanostructures métalliques et diélectriques sont ainsi développés. Le nouveau procédé nanodamascène inverse a permis de fabriquer des jonctions MIM et des SET métalliques sur une couche de SiO2. Les caractérisations électriques de MIM et de SET formés avec des jonctions TiN/Al2O3 ont permis de démontrer la présence de pièges dans les jonctions et la fonctionnalité d’un SET à basse température (1,5 K). Le transfert de ce procédé sur CMOS et le procédé d’interconnexions verticales sont aussi développés par la suite. Finalement, un circuit 3D composé d’un nanofil de titane connecté verticalement à un transistor MOS est réalisé et caractérisé avec succès. Les résultats obtenus lors de cette thèse permettent de valider la possibilité de co-intégrer verticalement des dispositifs nanoélectroniques avec une technologie CMOS, en utilisant un procédé de fabrication compatible. / Abstract : The single electron transistor (SET) is a nanoelectronic device very attractive due to its ultra-low power consumption and its high integration density, but he is not capable of completely replace CMOS technology. Nevertheless, the hybridization of these two technologies is an interesting approach since it combines the advantages of both technologies, in order to obtain circuits with new and unique functionalities. This thesis deals with the 3D monolithic integration of nanodevices in the back-end-ofline (BEOL) of a CMOS chip. This approach gives the opportunity to build hybrid circuits and to add value to CMOS chips without fundamentally changing the process fabrication of MOS transistors. This study is based on the nanodamascene process developed at UdeS, which is used to fabricate nanoelectronic devices on a SiO2 layer. This document presents the work done on the nanodamascene process optimization, in order to make it compatible with the BEOL of CMOS circuits. The development of plasma etching processes has been required to fabricate metallic and dielectric nanostructures useful to the fabrication of nanodevices. MIM junctions and metallic SET have been fabricated with the new reverse nanodamascene process on a SiO2 substrate. Electrical characterizations of MIM devices and SET formed with TiN/Al2O3 junctions have shown trap sites in the dielectric and a functional SET at low temperature (1.5 K). The transfer process on CMOS substrate and the vertical interconnection process have also been developed. Finally, a 3D circuit consisting of a titanium nanowire connected to a MOS transistor is fabricated and is functional. The results obtained during this thesis prove that the co-integration of nanoelectronic devices in the BEOL of a CMOS chip is possible, using a compatible process.
58

Cryogenic Etching of the Electroplating Mold for Improved Zone Plate Lenses

Larsson, Daniel January 2010 (has links)
<p>The fabrication of zone plate lenses that are used for focusing X-rays relies on nanofabrication techniques such as e-beam lithography, reactive ion etching, and electroplating. The circular grating-like zone plate pattern can have a smallest half-period, a so-called zone width, of down to 20 nm while it also needs to have a height that is 5 to 10 times the zone width to have good diffraction efficiency. This high aspect ratio structuring is a very challenging field of nanofabrication.</p><p>This diploma project has focused on improving the process step of fabricating the electroplating mold by cryo-cooling the polymer during the reactive ion etching with O<sub>2</sub>. The low temperature causes passivation of the sidewalls of the mold during etching which results in a more ideal rectangular profile of the high aspect ratio plating mold.</p><p>By etching at -100 °C, structures with highly vertical sidewalls and no undercut were realized. The experiments showed that there is a tradeoff between the anisotropy of the zone profile and the formation rate of polymer residue, so-called RIE grass. Through a proper choice of process parameters the grass could be completely removed without introducing any undercut.</p> / QC 20100414
59

Étude de la cinétique et des dommages de gravure par plasma de couches minces de nitrure d’aluminium

Morel, Sabrina 08 1900 (has links)
Une étape cruciale dans la fabrication des MEMS de haute fréquence est la gravure par plasma de la couche mince d’AlN de structure colonnaire agissant comme matériau piézoélectrique. Réalisé en collaboration étroite avec les chercheurs de Teledyne Dalsa, ce mémoire de maîtrise vise à mieux comprendre les mécanismes physico-chimiques gouvernant la cinétique ainsi que la formation de dommages lors de la gravure de l’AlN dans des plasmas Ar/Cl2/BCl3. Dans un premier temps, nous avons effectué une étude de l’influence des conditions opératoires d’un plasma à couplage inductif sur la densité des principales espèces actives de la gravure, à savoir, les ions positifs et les atomes de Cl. Ces mesures ont ensuite été corrélées aux caractéristiques de gravure, en particulier la vitesse de gravure, la rugosité de surface et les propriétés chimiques de la couche mince. Dans les plasmas Ar/Cl2, nos travaux ont notamment mis en évidence l’effet inhibiteur de l’AlO, un composé formé au cours de la croissance de l’AlN par pulvérisation magnétron réactive et non issu des interactions plasmas-parois ou encore de l’incorporation d’humidité dans la structure colonnaire de l’AlN. En présence de faibles traces de BCl3 dans le plasma Ar/Cl2, nous avons observé une amélioration significative du rendement de gravure de l’AlN dû à la formation de composés volatils BOCl. Par ailleurs, selon nos travaux, il y aurait deux niveaux de rugosité post-gravure : une plus faible rugosité produite par la présence d’AlO dans les plasmas Ar/Cl2 et indépendante de la vitesse de gravure ainsi qu’une plus importante rugosité due à la désorption préférentielle de l’Al dans les plasmas Ar/Cl2/BCl3 et augmentant linéairement avec la vitesse de gravure. / A crucial step in the fabrication of high-frequency MEMS is the etching of the columnar AlN thin film acting as the piezoelectric material. Realized in close collaboration with researchers from Teledyne Dalsa, the objective of this master thesis is to better understand the physico-chemical mechanisms driving the etching kinetics and damage formation dynamics during etching of AlN in Ar/Cl2/BCl3 plasmas. In the first set of experiments, we have studied the influence of the operating parameters of an inductively coupled plasma on the number density of the main etching species in such plasmas, namely positive ions and Cl atoms. These measurements were then correlated with the etching characteristics, in particular the etching rate, the surface roughness, and the chemical properties of the AlN layer after etching. In Ar/Cl2 plasmas, our work has highlighted the inhibition effect of AlO, a compound formed during the AlN growth by reactive magnetron sputtering and not from plasma-wall interactions or from the incorporation of moisture in the columnar nanostructure of AlN. In presence of small amounts of BCl3 in the Ar/Cl2 plasma, we have observed a significant increase of the etching yield of AlN due to the formation of volatile BOCl compounds. Furthermore, our work has demonstrated that there are two levels of roughness following etching: a lower roughness produced by the presence of AlO in Ar/Cl2 plasmas which is independent of the etching rate and a larger roughness due to preferential desorption of Al in Ar/Cl2/BCl3 plasmas which increases linearly with the etching rate.
60

Etude de la gravure du SiN contrôlée a l'échelle atomique par implantation d'O2 suivi de gravure ultra-sélective SiO2/SiN en plasma déporté NF3/NH3 / Study of the etching of SiN controlled at the atomic scale by O2 implantation followed by ultra-selective SiO2 / SiN etching in remote plasma NF3 / NH3

Soriano casero, Robert 25 January 2019 (has links)
Depuis le début de la microélectronique, l’industrie a développé sans arrêt des nouvelles technologies de gravure plasma pour diminuer la taille des dispositifs tout en réduisant le cout de fabrication et en augmentent les performances des circuits intégrés. Aujourd’hui, les transistors tel que le FDSOI 22nm ou FinFET 10 nm doivent être gravé avec une précision sub-nanométrique et sans endommager la sous-couche sur plus d’une couche atomique. Pour arriver à faire cela, de nouvelles technologie se développent, dont le Smart Etch. Cette technologie en deux étapes consiste à modifier la surface du matériau sous l’action d’un plasma, puis à retirer ce matériau modifié sélectivement par rapport au matériau non modifié. Le but de cette thèse est d’étudier la faisabilité de remplacer les plasmas de He et H2 utilisé dans le Smart Etch par des plasmas d’O2. L’intérêt est l’oxydation du matériau est une réelle modification chimique, permettant l’élimination sélective de ce dernier en RPS. Par ailleurs, contrairement aux plasma de He/H2, le plasma de O2 ne grave pas les parois du réacteur et rejette beaucoup moins d’impuretés dans le plasma. Dans un premier temps, nous avons étudié les mélanges gazeux NF3/H2 et NF3/NH3 utilisés dans l’étape de retrait RPS. Ces études ont été fait grâce à la spectroscopie d’absorption VUV et d’émission UV. Nous avons mis en évidence la création de HF dans les deux mélanges et nous avons mis en avant de manière indirecte la création de NH4F (cette espèce jouant un rôle clé dans la formation des sels) à partir de NH3 et HF. De plus nous avons observé la présence de F et H qui sont responsable de la gravure de SiO2 et SiN lorsque H2<NF3 et NH3<NF3. Dans un second temps, nous avons étudié par XPS angulaire et ellipsométrie l’implantation des ions oxygène dans du SiN avec différent flux et énergie ionique. Cela a bien montré que le SiN initial est transformé en une couche SiOxNy avec une contribution SiO2 importante, sous réserve que l’état stationnaire soit atteint (il faut une dose d’ions significative pour cela). Le flux, l’énergie des ions et le temps de traitement sont donc les paramètres clés pour le contrôle de la couche modifié. Enfin, des tests préliminaires de gravure cyclique de SiN pleine plaque en mode « ALE » (c’est dire monocouche atomique par monocouche atomique) ainsi qu’en mode standard (retrait de quelques nanomètres / cycle) montrent que le principe de gravure est réaliste. Ce travail ouvre donc la voie au développement de ce nouveau type de procédé. / Since the beginning of microelectronics, the industry has continuously developed new plasma etching technologies to reduce the size of devices while reducing the cost of manufacturing and increase the performance of integrated circuits. Today, transistors such as 22nm FDSOI or 10nm FinFET must be engraved with sub-nanometric precision and without damaging the underlayment on more than one atomic layer. To achieve this, new technologies are developing, including the Smart Etch. This two-step technology involves modifying the surface of the material under the action of a plasma and then removing selectively the modified material from the unmodified material. The aim of this thesis is to study the feasibility of replacing the He and H2 plasmas used in the Smart Etch by O2 plasmas. The interest is the oxidation of the material, that it is a real chemical modification, allowing latter the selective elimination by RPS. Moreover, unlike He / H2 plasma, the O2 plasma does not damage the reactor walls and releases much less impurities into the plasma. Firstly, we studied the gaseous mixtures NF3 / H2 and NF3 / NH3 used in the step of RPS remove. Thouse studies were done through VUV absorption spectroscopy and UV emission. We have demonstrated the creation of HF in both mixtures and we have indirectly highlighted the creation of NH4F (this species plays a key role in the formation of salts) from NH3 and HF. In addition we observed the presence of F and H which are responsible for the etching of SiO2 and SiN when H2 <NF3 and NH3 <NF3. Secondly, we studied angular XPS and ellipsometry by implanting oxygen ions in SiN with different flux and ionic energy. This has shown that the initial SiN is transformed into a SiOxNy layer with a significant SiO2 contribution, provided that the stationary state is reached (a significant dose of ions is required for this). Flux, ion energy and processing time are therefore the key parameters for controlling the modified layer.Finally, preliminary tests of full-plate SiN cyclic etching in "ALE" mode (ie atomic monolayer by atomic monolayer) as well as in standard mode (removing a few nanometers / cycle) show that the etching principle is realistic. This work opens the way to the development of this new type of process.

Page generated in 0.1106 seconds