• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 87
  • 12
  • 4
  • 3
  • 3
  • 2
  • 2
  • 1
  • 1
  • Tagged with
  • 127
  • 127
  • 35
  • 24
  • 23
  • 23
  • 22
  • 21
  • 21
  • 21
  • 20
  • 18
  • 18
  • 15
  • 15
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
111

Thin Films of Copper Oxide and Copper Grown by Atomic Layer Deposition for Applications in Metallization Systems of Microelectronic Devices

Wächtler, Thomas 02 June 2010 (has links) (PDF)
Copper-based multi-level metallization systems in today’s ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(tri-n-butylphosphane)copper(I)acetylacetonate [(nBu3P)2Cu(acac)]. This liquid, non-fluorinated β-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160°C. Typical ALD-like growth behavior arises between 100 and 130°C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and self-saturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent electrochemical copper deposition, the combination of ALD copper and ruthenium proves advantageous, especially with respect to the quality of the electroplated films and their filling behavior in interconnect structures. Furthermore, the ALD process developed also bears potential for an integration with carbon nanotubes. / Kupferbasierte Mehrlagenmetallisierungssysteme in heutigen hochintegrierten elektronischen Schaltkreisen erfordern die Herstellung von Diffusionsbarrieren und leitfähigen Keimschichten für die galvanische Metallabscheidung. Diese Schichten von nur wenigen Nanometern Dicke müssen konform und fehlerfrei in strukturierten Dielektrika abgeschieden werden. Die sich abzeichnende weitere Verkleinerung der geometrischen Dimensionen des Leitbahnsystems erfordert Beschichtungstechnologien, die vorhandene Nachteile der bisher etablierten Physikalischen Dampfphasenabscheidung beheben. Die Methode der Atomlagenabscheidung (ALD) ermöglicht es, Schichten im Nanometerbereich sowohl auf dreidimensional strukturierten Objekten als auch auf großflächigen Substraten gleichmäßig herzustellen. Die vorliegende Arbeit befasst sich daher mit der Entwicklung eines ALD-Prozesses zur Abscheidung von Kupferoxidschichten, ausgehend von der metallorganischen Vorstufe Bis(tri-n-butylphosphan)kupfer(I)acetylacetonat [(nBu3P)2Cu(acac)]. Dieses flüssige, nichtfluorierte β-Diketonat wird bei Temperaturen zwischen 100 und 160°C mit einer Mischung aus Wasserdampf und Sauerstoff zur Reaktion gebracht. ALD-typisches Schichtwachstum stellt sich in Abhängigkeit des gewählten Substrats zwischen 100 und 130°C ein. Auf Tantalnitrid- und Siliziumdioxidsubstraten werden dabei sehr glatte Schichten bei gesättigtem Wachstumsverhalten erhalten. Auch auf Rutheniumsubstraten werden gute Abscheideergebnisse erzielt, jedoch kommt es hier zu einer merklichen Durchmischung des ALD-Kupferoxids mit dem Untergrund. Tantalsubstrate führen zu einer schnellen Selbstzersetzung des Kupferprecursors, in dessen Folge neben geschlossenen Schichten während der ALD auch immer isolierte Keime oder größere Partikel erhalten werden. Die mittels ALD gewachsenen Kupferoxidschichten können in Gasphasenprozessen zu Kupfer reduziert werden. Wird Ameisensäure als Reduktionsmittel genutzt, können diese Prozesse bereits bei ähnlichen Temperaturen wie die ALD durchgeführt werden, so dass Agglomeration der Schichten weitgehend verhindert wird. Als besonders vorteilhaft für die Ameisensäure-Reduktion erweisen sich Rutheniumsubstrate. Auch für eine Integration mit nachfolgenden Galvanikprozessen zur Abscheidung von Kupfer zeigen sich Vorteile der Kombination ALD-Kupfer/Ruthenium, insbesondere hinsichtlich der Qualität der erhaltenen galvanischen Schichten und deren Füllverhalten in Leitbahnstrukturen. Der entwickelte ALD-Prozess besitzt darüber hinaus Potential zur Integration mit Kohlenstoffnanoröhren.
112

ALD-grown seed layers for electrochemical copper deposition integrated with different diffusion barrier systems

Waechtler, Thomas, Ding, Shao-Feng, Hofmann, Lutz, Mothes, Robert, Xie, Qi, Oswald, Steffen, Detavernier, Christophe, Schulz, Stefan E., Qu, Xin-Ping, Lang, Heinrich, Gessner, Thomas 18 May 2011 (has links) (PDF)
The deposition of Cu seed layers for electrochemical Cu deposition (ECD) via atomic layer deposition (ALD) of copper oxide and subsequent thermal reduction at temperatures between 110 and 120°C was studied on different diffusion barrier systems. While optimization of the process is required on TaN with respect to reduction and plating, promising results were obtained on blanket PVD Ru. The plating results on layers of ALD Cu with underlying Ru even outperformed the ones achieved on PVD Cu seed layers with respect to morphology and resistivity. Applying the processes to via and line patterns gave similar results, suggesting that a combination of ALD Cu with PVD or ALD-grown Ru could significantly improve the ECD Cu growth.
113

Reduction of Copper Oxide by Formic Acid / Eine ab-initio Studie zur Kupferoxid-Reduktion durch Ameisensäure

Schmeißer, Martin 24 November 2011 (has links) (PDF)
Four cluster models for a copper(I)oxide (111) surface have been designed, of which three were studied with respect to their applicability in density functional calculations in the general gradient approximation. Formic acid adsorption on these systems was modelled and yielded four different adsorption structures, of which two were found to have a high adsorption energy. The energetically most favourable adsorption structure was further investigated with respect to its decomposition and a few reactions with adsorbed H and OH species using synchronous transit methods to estimate reaction barriers and single point energy calculations for the reaction energy.
114

Detailed Study of Copper Oxide ALD on SiO2, TaN, and Ru

Waechtler, Thomas, Schulze, Steffen, Hofmann, Lutz, Hermann, Sascha, Roth, Nina, Schulz, Stefan E., Gessner, Thomas, Lang, Heinrich, Hietschold, Michael 10 August 2009 (has links)
Copper films with a thickness in the nanometer range are required as seed layers for the electrochemical Cu deposition to form multilevel interconnects in ultralarge-scale integrated (ULSI) electronic devices. Continuously shrinking device dimensions and increasing aspect ratios of the dual-damascene structures in the copper-based metallization schemes put ever more stringent requirements on the films with respect to their conformality in nanostructures and thickness homogeneity across large wafers. Due to its intrinsic self-limiting film growth characteristic, atomic layer deposition (ALD) appears appropriate for homogeneously coating complex substrates and to replace conventional physical vapor deposition (PVD) methods beyond the 32 nm technology node. To overcome issues of direct Cu ALD, such as film agglomeration at higher temperatures or reduced step coverage in plasma-based processes, an ALD copper oxide film may be grown under mild processing conditions, while a subsequent reduction step converts it to metallic copper. In this poster, which was presented at the AVS 9th International Conference on Atomic Layer Deposition (ALD 2009), held in Monterey, California from 19 to 22 July 2009, we report detailed film growth studies of ALD copper oxide in the self-limiting regime on SiO2, TaN and Ru. Applications in subsequent electrochemical deposition processes are discussed, comparing Cu plating results on as-deposited PVD Ru as well as with PVD and reduced ALD Cu seed layer.
115

Copper Oxide ALD from a Cu(I) <beta>-Diketonate: Detailed Growth Studies on SiO2 and TaN

Waechtler, Thomas, Roth, Nina, Mothes, Robert, Schulze, Steffen, Schulz, Stefan E., Gessner, Thomas, Lang, Heinrich, Hietschold, Michael 03 November 2009 (has links)
The atomic layer deposition (ALD) of copper oxide films from [(<sup>n</sup>Bu<sub>3</sub>P)<sub>2</sub>Cu(acac)] and wet oxygen on SiO<sub>2</sub> and TaN has been studied in detail by spectroscopic ellipsometry and atomic force microscopy. The results suggest island growth on SiO<sub>2</sub>, along with a strong variation of the optical properties of the films in the early stages of the growth and signs of quantum confinement, typical for nanocrystals. In addition, differences both in growth behavior and film properties appear on dry and wet thermal SiO<sub>2</sub>. Electron diffraction together with transmission electron microscopy shows that nanocrystalline Cu<sub>2</sub>O with crystallites < 5 nm is formed, while upon prolonged electron irradiation the films decompose and metallic copper crystallites of approximately 10 nm precipitate. On TaN, the films grow in a linear, layer-by-layer manner, reproducing the initial substrate roughness. Saturated growth obtained at 120&deg;C on TaN as well as dry and wet SiO<sub>2</sub> indicates well-established ALD growth regimes. <br> &copy; 2009 The Electrochemical Society. All rights reserved.
116

Thin Films of Copper Oxide and Copper Grown by Atomic Layer Deposition for Applications in Metallization Systems of Microelectronic Devices

Wächtler, Thomas 25 May 2010 (has links)
Copper-based multi-level metallization systems in today’s ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(tri-n-butylphosphane)copper(I)acetylacetonate [(nBu3P)2Cu(acac)]. This liquid, non-fluorinated β-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160°C. Typical ALD-like growth behavior arises between 100 and 130°C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and self-saturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent electrochemical copper deposition, the combination of ALD copper and ruthenium proves advantageous, especially with respect to the quality of the electroplated films and their filling behavior in interconnect structures. Furthermore, the ALD process developed also bears potential for an integration with carbon nanotubes. / Kupferbasierte Mehrlagenmetallisierungssysteme in heutigen hochintegrierten elektronischen Schaltkreisen erfordern die Herstellung von Diffusionsbarrieren und leitfähigen Keimschichten für die galvanische Metallabscheidung. Diese Schichten von nur wenigen Nanometern Dicke müssen konform und fehlerfrei in strukturierten Dielektrika abgeschieden werden. Die sich abzeichnende weitere Verkleinerung der geometrischen Dimensionen des Leitbahnsystems erfordert Beschichtungstechnologien, die vorhandene Nachteile der bisher etablierten Physikalischen Dampfphasenabscheidung beheben. Die Methode der Atomlagenabscheidung (ALD) ermöglicht es, Schichten im Nanometerbereich sowohl auf dreidimensional strukturierten Objekten als auch auf großflächigen Substraten gleichmäßig herzustellen. Die vorliegende Arbeit befasst sich daher mit der Entwicklung eines ALD-Prozesses zur Abscheidung von Kupferoxidschichten, ausgehend von der metallorganischen Vorstufe Bis(tri-n-butylphosphan)kupfer(I)acetylacetonat [(nBu3P)2Cu(acac)]. Dieses flüssige, nichtfluorierte β-Diketonat wird bei Temperaturen zwischen 100 und 160°C mit einer Mischung aus Wasserdampf und Sauerstoff zur Reaktion gebracht. ALD-typisches Schichtwachstum stellt sich in Abhängigkeit des gewählten Substrats zwischen 100 und 130°C ein. Auf Tantalnitrid- und Siliziumdioxidsubstraten werden dabei sehr glatte Schichten bei gesättigtem Wachstumsverhalten erhalten. Auch auf Rutheniumsubstraten werden gute Abscheideergebnisse erzielt, jedoch kommt es hier zu einer merklichen Durchmischung des ALD-Kupferoxids mit dem Untergrund. Tantalsubstrate führen zu einer schnellen Selbstzersetzung des Kupferprecursors, in dessen Folge neben geschlossenen Schichten während der ALD auch immer isolierte Keime oder größere Partikel erhalten werden. Die mittels ALD gewachsenen Kupferoxidschichten können in Gasphasenprozessen zu Kupfer reduziert werden. Wird Ameisensäure als Reduktionsmittel genutzt, können diese Prozesse bereits bei ähnlichen Temperaturen wie die ALD durchgeführt werden, so dass Agglomeration der Schichten weitgehend verhindert wird. Als besonders vorteilhaft für die Ameisensäure-Reduktion erweisen sich Rutheniumsubstrate. Auch für eine Integration mit nachfolgenden Galvanikprozessen zur Abscheidung von Kupfer zeigen sich Vorteile der Kombination ALD-Kupfer/Ruthenium, insbesondere hinsichtlich der Qualität der erhaltenen galvanischen Schichten und deren Füllverhalten in Leitbahnstrukturen. Der entwickelte ALD-Prozess besitzt darüber hinaus Potential zur Integration mit Kohlenstoffnanoröhren.
117

ALD-grown seed layers for electrochemical copper deposition integrated with different diffusion barrier systems

Waechtler, Thomas, Ding, Shao-Feng, Hofmann, Lutz, Mothes, Robert, Xie, Qi, Oswald, Steffen, Detavernier, Christophe, Schulz, Stefan E., Qu, Xin-Ping, Lang, Heinrich, Gessner, Thomas January 2011 (has links)
The deposition of Cu seed layers for electrochemical Cu deposition (ECD) via atomic layer deposition (ALD) of copper oxide and subsequent thermal reduction at temperatures between 110 and 120°C was studied on different diffusion barrier systems. While optimization of the process is required on TaN with respect to reduction and plating, promising results were obtained on blanket PVD Ru. The plating results on layers of ALD Cu with underlying Ru even outperformed the ones achieved on PVD Cu seed layers with respect to morphology and resistivity. Applying the processes to via and line patterns gave similar results, suggesting that a combination of ALD Cu with PVD or ALD-grown Ru could significantly improve the ECD Cu growth.
118

Reduction of Copper Oxide by Formic Acid: an ab-initio study

Schmeißer, Martin 29 September 2011 (has links)
Four cluster models for a copper(I)oxide (111) surface have been designed, of which three were studied with respect to their applicability in density functional calculations in the general gradient approximation. Formic acid adsorption on these systems was modelled and yielded four different adsorption structures, of which two were found to have a high adsorption energy. The energetically most favourable adsorption structure was further investigated with respect to its decomposition and a few reactions with adsorbed H and OH species using synchronous transit methods to estimate reaction barriers and single point energy calculations for the reaction energy.:1 Introduction 1.1 Preliminary Work 1.2 Known Reactions and Issues 1.3 Overview of Reactions and Species involved in Formic Acid Decomposition 2 Theoretical Background 2.1 The Schrödinger-Equation 2.2 Density Functional Theory 2.3 Exchange-Correlation Functionals 2.4 The Self-Consistent-Field Procedure 2.5 Geometry Optimization and Transition State Searches 2.6 Kinetics 3 Computational Details 3.1 Synchronous Transit Schemes 3.2 Transition State Searches using Eigenvector Following 4 Model System 5 Results and Discussion 5.1 Geometry of the Cu2O cluster structures 5.2 Adsorption of formic acid 5.3 Decomposition and Reaction Paths 5.3.1 Vibrational Analysis of the adsorbed Formic Acid Molecule 5.3.2 Reaction Modelling using Linear Synchronous Transit 5.3.3 Transition State Searches using Eigenvector Following 6 Summary and Outlook
119

Thermal ALD of Cu via Reduction of CuxO films for the Advanced Metallization in Spintronic and ULSI Interconnect Systems

Mueller, Steve, Waechtler, Thomas, Hofmann, Lutz, Tuchscherer, Andre, Mothes, Robert, Gordan, Ovidiu, Lehmann, Daniel, Haidu, Francisc, Ogiewa, Marcel, Gerlich, Lukas, Ding, Shao-Feng, Schulz, Stefan E., Gessner, Thomas, Lang, Heinrich, Zahn, Dietrich R.T., Qu, Xin-Ping January 2011 (has links)
In this work, an approach for copper atomic layer deposition (ALD) via reduction of CuxO films was investigated regarding applications in ULSI interconnects, like Cu seed layers directly grown on diffusion barriers (e. g. TaN) or possible liner materials (e. g. Ru or Ni) as well as non-ferromagnetic spacer layers between ferromagnetic films in GMR sensor elements, like Ni or Co. The thermal CuxO ALD process is based on the Cu (I) β-diketonate precursor [(nBu3P)2Cu(acac)] and a mixture of water vapor and oxygen ("wet O2") as co-reactant at temperatures between 100 and 130 °C. Highly efficient conversions of the CuxO to metallic Cu films are realized by a vapor phase treatment with formic acid (HCOOH), especially on Ru substrates. Electrochemical deposition (ECD) experiments on Cu ALD seed / Ru liner stacks in typical interconnect patterns are showing nearly perfectly filling behavior. For improving the HCOOH reduction on arbitrary substrates, a catalytic amount of Ru was successful introduced into the CuxO films during the ALD with a precursor mixture of the Cu (I) β-diketonate and an organometallic Ru precursor. Furthermore, molecular and atomic hydrogen were studied as promising alternative reducing agents.
120

Atomic Layer Deposition and Microanalysis of Ultrathin Layers

Melzer, Marcel 17 October 2012 (has links)
Carbon nanotubes (CNTs) are a highly promising material for future interconnects. It is expected that the decoration of CNTs with Cu particles or also the filling of the interspaces between the CNTs with Cu instead of the currently used SiO2 can enhance the performance of CNT-based interconnects. Due to the high aspect ratio of CNTs an appropriate deposition technique has to be applied which is able to coat such structures uniformly. The current work is therefore considered with thermal atomic layer deposition (ALD) of CuxO from the liquid Cu (I) β-diketonate precursor [(nBu3P)2Cu(acac)] and wet oxygen at 135°C on variously pretreated multi-walled CNTs. The different in-situ pre-treatments of the CNTs with oxygen, water vapor and wet oxygen in a temperature range from 100 to 300°C at a pressure of 1.33 mbar have been carried out prior to the ALD to enable uniform nucleation on the otherwise chemical inert CNT surface. The reduction of the CuxO as well as the filling of the space between the CNTs is not part of this work. Variations of the oxidation temperature as well as the oxidation agents resulted in different growth modes of the CuxO. An oxidation with wet oxygen at 300°C yielded in a partially layer like growth of the CuxO. It is expected that this growth mode is connected to a partial destruction of the outer CNT shell due to the oxidation. However, the damage introduced to the CNTs was not high enough to be detected by Raman spectroscopy. For all other investigated pretreatments, the formation of nanoparticles (NPs) was observed by electron microscopy. This formation of CuxO NPs can be explained by the metal-tube-interaction. Furthermore, the NPs probably decorate defect sites of the CNTs due to their higher reactivity. Additionally, analysis of energy-dispersive X-ray spectroscopy and spectroscopic ellipsometry measurements suggests that the used precursor [(nBu3P)2Cu(acac)] requires reactive oxygen surface groups for initiating the ALD growth. The observation of layer-like growth of CuxO on CNTs pretreated with wet oxygen at 300°C appears promising for deposition processes of Cu seed layers on CNTs. However, more aggressive pretreatments at higher temperatures or with more aggressive oxidation agents could be required to enable layer like growth on the entire CNTs.

Page generated in 0.0683 seconds