• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 214
  • 34
  • 18
  • 12
  • 4
  • 3
  • 2
  • 1
  • 1
  • 1
  • Tagged with
  • 294
  • 96
  • 74
  • 54
  • 49
  • 46
  • 43
  • 43
  • 38
  • 35
  • 32
  • 31
  • 25
  • 23
  • 23
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
91

Classification and analyses of of coating flows

Benkreira, Hadj, Patel, Rajnikant, Edwards, M.F., Wilkinson, W.L. January 1994 (has links)
Yes / A classification of coating flows is presented to facilitate a fundamental approach to their study. Four categories are observed: free, metered, transfer and gravure coating flows. They are all limited by free surface(s) which make their analysis difficult. Various analytical approaches have been used and these are briefly reviewed in this paper.
92

Direct forward gravure coating on unsupported web

Benkreira, Hadj, Cohu, O. January 1998 (has links)
Yes / This experimental study of forward gravure coating considers the effects of operating variables on air entrainment, ribbing instabilities and the thickness of the film formed. The data show that this coating method can yield very thin films of thickness of order of 15 - 20% at most of the equivalent cell depth of a gravure roller. Air free and non ribbed stable uniform films can however only be obtained in a narrow window of operating conditions at very low substrate capillary number (CaS ~ 0.02) equivalent to substrate speeds typically less than 20m/min. The paper draws a similarity with flow features observed with smooth forward roll coating and slide coating. It is shown that the onset of ribbing and the flux distribution between the gravure roller and the substrate at the exit of the nip obey approximately the same rules as in smooth forward roll coating, whereas the onset of air entrainment actually corresponds to a low-flow limit of coatability similar to that observed in slide coating.
93

Analyse de procédés de traitement plasma des résines photosensibles à 193 nm pour le développement de technologies CMOS sub-65 nm

Bazin, Arnaud 21 September 2009 (has links) (PDF)
Ce travail de thèse vise l'étude des interactions entre les plasmas utilisés en microélectronique et les résines à amplification chimique. Les procédés de fabrication employés en microélectronique nécessitent l'utilisation de matériaux polymères. Ces matériaux permettent dans un premier temps de définir des motifs représentant les différentes parties des composants électroniques, grâce à une étape de lithographie. Dans la suite du procédé de fabrication, ils jouent le rôle de masque afin de traiter les surfaces sous-jacentes aux endroits désirés. Une de ces étapes pour laquelle le polymère joue le rôle de masque est la gravure sèche par plasma, qui permet de transférer les motifs créés par lithographie dans le substrat et ainsi obtenir la structure du circuit intégré. Ainsi les résines employées doivent être suffisamment résistantes afin de remplir efficacement leur rôle de masque à la gravure. L'objectif principal de ce travail de thèse a donc été d'étudier la résistance à la gravure des résines à amplification chimique de dernière génération (193 nm) afin de mettre en évidence les dégradations de ces matériaux pendant les étapes de gravure, et de trouver des moyens d'améliorer leur résistance. Dans un premier temps le comportement des résines 193 nm a été comparé à une résine de référence plus résistante. Des analyses physico-chimiques (FTIR, XPS, DSC et TGA) ont permis de mettre en évidence les faiblesses des résines 193 nm liées à leur structure acrylique. La chimie des résines 193 nm étant complexe et devant répondre à de nombreux critères notamment pour l'étape de lithographie, il est préférable de les renforcer en tentant de contrôler les dégradations observées. Ainsi le deuxième objectif de la thèse a été d'étudier le renforcement des résines par des procédés plasma en utilisant des conditions particulières différentes des étapes de gravure. Les modifications spécifiques apportées par ces traitements sur les résines ont donc été mises en évidence, et le rôle prépondérant des émissions UV a notamment pu être prouvé. Enfin ces traitements plasma ont été appliqués sur des lignes de résines afin de montrer le fort potentiel applicatif de ces procédés pour améliorer deux points critiques : la vitesse de gravure et la rugosité des motifs.
94

Développement de procédés de gravure à base de plasmas réactifs pulsés Pulsed plasmas for etch applications

Haass, Moritz 06 November 2012 (has links) (PDF)
Du fait de la réduction des dimensions en microélectronique, les procédés de gravure par plasmas ne peuvent plus satisfaire aux exigences de l'industrie. De nouvelles stratégies sont en cours de développement. Ce travail consiste en l'étude de plasmas pulsés de HBr/O2 comme une alternative pour la gravure du silicium. Divers diagnostics dans un réacteur industriel 300 mm sont utilisés pour caractériser le plasma tandis que la gravure du silicium est étudiée par XPS et par microscopie électronique. Lorsque le plasma est pulsé à faible rapport cyclique, sa température et sa dissociation sont fortement réduits. Le flux de Br radicalaire par rapport à la période ON du plasma augmente tandis que l'influence du radical O diminue, ce qui conduit à une amélioration de la sélectivité par rapport au SiO2 et à une gravure plus homogène. Les profils des structures gravées peuvent être contrôlés par la formation de la couche de passivation sur les flancs dépendant également du rapport cyclique.
95

Développement de procédés de gravure à base de plasmas réactifs pulsés Pulsed plasmas for etch applications / Pulsed Plasmas for Etch Applications

Haass, Moritz 06 November 2012 (has links)
Du fait de la réduction des dimensions en microélectronique, les procédés de gravure par plasmas ne peuvent plus satisfaire aux exigences de l'industrie. De nouvelles stratégies sont en cours de développement. Ce travail consiste en l'étude de plasmas pulsés de HBr/O2 comme une alternative pour la gravure du silicium. Divers diagnostics dans un réacteur industriel 300 mm sont utilisés pour caractériser le plasma tandis que la gravure du silicium est étudiée par XPS et par microscopie électronique. Lorsque le plasma est pulsé à faible rapport cyclique, sa température et sa dissociation sont fortement réduits. Le flux de Br radicalaire par rapport à la période ON du plasma augmente tandis que l'influence du radical O diminue, ce qui conduit à une amélioration de la sélectivité par rapport au SiO2 et à une gravure plus homogène. Les profils des structures gravées peuvent être contrôlés par la formation de la couche de passivation sur les flancs dépendant également du rapport cyclique. / The continuous downscaling in microelectronics imposes increasing demands on the plasma processes and traditional ways for process optimization reach their limits. New strategies are needed and innovations in the field of plasma processes are being developed: e.g. the use of pulsed plasmas. In this thesis, a pulsed HBr/O2 etch plasma is studied. Various in-situ diagnostics are used to characterize pulsed plasmas in an industrial 12” etch reactor. The silicon etching is investigated by XPS and electron microscopy. We show that the plasma dissociation and temperature are reduced if the plasma is pulsed at low duty cycles. The Br radical flux with respect to the on-time of the plasma is increased and the influence of the O radical is decreased, leading to enhanced time compensated silicon etch rates, a higher selectivity towards SiO2 and a more homogeneous etching. The pattern profiles can be controlled via the sidewall passivation layer formation that is closely linked to the duty cycle.
96

Etude et caractérisation avancées des procédés plasma pour les technologies sub - 0.1 µm

Fuard, david 18 November 2003 (has links) (PDF)
Les interconnexions des circuits intégrés sub-0.25µm nécessitent l'intégration d'isolants «low-K» à plus faible permittivité diélectrique que SiO2 (~ 4.4) tel que le SiLK™ (~ 2.65), un matériau organique prometteur. Mais sa gravure plasma conduit à l'obtention de structures en forme de tonneau («bow»), alors que les profils gravés doivent rester anisotropes pour les étapes ultérieures d'intégration. Afin de réduire le bow, cette étude montre que la passivation des flancs des structures gravées est nécessaire, et fortement corrélée à la dégradation («graphitisation») du SiLK et à la présence de résidus carbonés peu volatils dans le plasma. La présence de sources carbonées autres que le SiLK™ permet aussi d'améliorer la passivation. L'étude du phénomène à l'origine du bow montre enfin que les charges électrostatiques jouent un rôle majoritaire dans la déflexion des ions sur les flancs. Ces résultats intéressent également tous les low-Ks à faible seuil de gravure ionique réactive.
97

Étude de la cinétique et des dommages de gravure par plasma de couches minces de nitrure d’aluminium

Morel, Sabrina 08 1900 (has links)
Une étape cruciale dans la fabrication des MEMS de haute fréquence est la gravure par plasma de la couche mince d’AlN de structure colonnaire agissant comme matériau piézoélectrique. Réalisé en collaboration étroite avec les chercheurs de Teledyne Dalsa, ce mémoire de maîtrise vise à mieux comprendre les mécanismes physico-chimiques gouvernant la cinétique ainsi que la formation de dommages lors de la gravure de l’AlN dans des plasmas Ar/Cl2/BCl3. Dans un premier temps, nous avons effectué une étude de l’influence des conditions opératoires d’un plasma à couplage inductif sur la densité des principales espèces actives de la gravure, à savoir, les ions positifs et les atomes de Cl. Ces mesures ont ensuite été corrélées aux caractéristiques de gravure, en particulier la vitesse de gravure, la rugosité de surface et les propriétés chimiques de la couche mince. Dans les plasmas Ar/Cl2, nos travaux ont notamment mis en évidence l’effet inhibiteur de l’AlO, un composé formé au cours de la croissance de l’AlN par pulvérisation magnétron réactive et non issu des interactions plasmas-parois ou encore de l’incorporation d’humidité dans la structure colonnaire de l’AlN. En présence de faibles traces de BCl3 dans le plasma Ar/Cl2, nous avons observé une amélioration significative du rendement de gravure de l’AlN dû à la formation de composés volatils BOCl. Par ailleurs, selon nos travaux, il y aurait deux niveaux de rugosité post-gravure : une plus faible rugosité produite par la présence d’AlO dans les plasmas Ar/Cl2 et indépendante de la vitesse de gravure ainsi qu’une plus importante rugosité due à la désorption préférentielle de l’Al dans les plasmas Ar/Cl2/BCl3 et augmentant linéairement avec la vitesse de gravure. / A crucial step in the fabrication of high-frequency MEMS is the etching of the columnar AlN thin film acting as the piezoelectric material. Realized in close collaboration with researchers from Teledyne Dalsa, the objective of this master thesis is to better understand the physico-chemical mechanisms driving the etching kinetics and damage formation dynamics during etching of AlN in Ar/Cl2/BCl3 plasmas. In the first set of experiments, we have studied the influence of the operating parameters of an inductively coupled plasma on the number density of the main etching species in such plasmas, namely positive ions and Cl atoms. These measurements were then correlated with the etching characteristics, in particular the etching rate, the surface roughness, and the chemical properties of the AlN layer after etching. In Ar/Cl2 plasmas, our work has highlighted the inhibition effect of AlO, a compound formed during the AlN growth by reactive magnetron sputtering and not from plasma-wall interactions or from the incorporation of moisture in the columnar nanostructure of AlN. In presence of small amounts of BCl3 in the Ar/Cl2 plasma, we have observed a significant increase of the etching yield of AlN due to the formation of volatile BOCl compounds. Furthermore, our work has demonstrated that there are two levels of roughness following etching: a lower roughness produced by the presence of AlO in Ar/Cl2 plasmas which is independent of the etching rate and a larger roughness due to preferential desorption of Al in Ar/Cl2/BCl3 plasmas which increases linearly with the etching rate.
98

Etude des interactions plasma–surface pendant la gravure du silicium dans des plasmas HBr/Cl2/O2

Kogelschatz, Martin 06 December 2004 (has links) (PDF)
L'objectif de ce travail est de comprendre les interactions plasma-surface pendant la gravure du silicium dans des chimies HBr/Cl2/O2. Dans ces procédés, une couche se dépose sur les parois du réacteur et mène à la dérive du procédé. La nature chimique et les mécanismes de formation de cette couche ont été étudiés par sa gravure ultérieure avec un plasma Ar/SF6 et l'analyse résolue en temps des produits de gravure par les diagnostics d'émission optique et de spectrométrie de masse. Il a été montré que cette couche est du type SiOxCly très riche en chlore. Aussi, la cinétique des radicaux SiClx produits lors de la gravure du silicium par le plasma HBr/Cl2/O2, qui sont les précurseurs de ce dépôt, a été étudiée par la spectroscopie d'absorption large bande dans l'UV. Il a été conclu que les précurseurs du dépôt sont le Si, Si+, SiCl et SiCl+, mais la réaction de ces espèces avec les parois peut aussi mener à la formation de SiCl2 volatil.
99

Étude de la cinétique et des dommages de gravure par plasma de couches minces de nitrure d’aluminium

Morel, Sabrina 08 1900 (has links)
Une étape cruciale dans la fabrication des MEMS de haute fréquence est la gravure par plasma de la couche mince d’AlN de structure colonnaire agissant comme matériau piézoélectrique. Réalisé en collaboration étroite avec les chercheurs de Teledyne Dalsa, ce mémoire de maîtrise vise à mieux comprendre les mécanismes physico-chimiques gouvernant la cinétique ainsi que la formation de dommages lors de la gravure de l’AlN dans des plasmas Ar/Cl2/BCl3. Dans un premier temps, nous avons effectué une étude de l’influence des conditions opératoires d’un plasma à couplage inductif sur la densité des principales espèces actives de la gravure, à savoir, les ions positifs et les atomes de Cl. Ces mesures ont ensuite été corrélées aux caractéristiques de gravure, en particulier la vitesse de gravure, la rugosité de surface et les propriétés chimiques de la couche mince. Dans les plasmas Ar/Cl2, nos travaux ont notamment mis en évidence l’effet inhibiteur de l’AlO, un composé formé au cours de la croissance de l’AlN par pulvérisation magnétron réactive et non issu des interactions plasmas-parois ou encore de l’incorporation d’humidité dans la structure colonnaire de l’AlN. En présence de faibles traces de BCl3 dans le plasma Ar/Cl2, nous avons observé une amélioration significative du rendement de gravure de l’AlN dû à la formation de composés volatils BOCl. Par ailleurs, selon nos travaux, il y aurait deux niveaux de rugosité post-gravure : une plus faible rugosité produite par la présence d’AlO dans les plasmas Ar/Cl2 et indépendante de la vitesse de gravure ainsi qu’une plus importante rugosité due à la désorption préférentielle de l’Al dans les plasmas Ar/Cl2/BCl3 et augmentant linéairement avec la vitesse de gravure. / A crucial step in the fabrication of high-frequency MEMS is the etching of the columnar AlN thin film acting as the piezoelectric material. Realized in close collaboration with researchers from Teledyne Dalsa, the objective of this master thesis is to better understand the physico-chemical mechanisms driving the etching kinetics and damage formation dynamics during etching of AlN in Ar/Cl2/BCl3 plasmas. In the first set of experiments, we have studied the influence of the operating parameters of an inductively coupled plasma on the number density of the main etching species in such plasmas, namely positive ions and Cl atoms. These measurements were then correlated with the etching characteristics, in particular the etching rate, the surface roughness, and the chemical properties of the AlN layer after etching. In Ar/Cl2 plasmas, our work has highlighted the inhibition effect of AlO, a compound formed during the AlN growth by reactive magnetron sputtering and not from plasma-wall interactions or from the incorporation of moisture in the columnar nanostructure of AlN. In presence of small amounts of BCl3 in the Ar/Cl2 plasma, we have observed a significant increase of the etching yield of AlN due to the formation of volatile BOCl compounds. Furthermore, our work has demonstrated that there are two levels of roughness following etching: a lower roughness produced by the presence of AlO in Ar/Cl2 plasmas which is independent of the etching rate and a larger roughness due to preferential desorption of Al in Ar/Cl2/BCl3 plasmas which increases linearly with the etching rate.
100

Développement d'un procédé de structuration 3D pour le silicium / Developement of 3D structuring process for silicon

Nouri, Lamia 11 December 2017 (has links)
Ce travail porte sur le développement d’une technique de structuration de surface pour le silicium. Celle-ci repose sur trois étapes essentielles : la lithographie, l’implantation ionique et le retrait par voie humide. Le motif formé par lithographie est transféré par homothétie dans la couche sous-jacente de silicium grâce à l’implantation ionique. Après le retrait du masque de résine, le substrat est traité par voie humide en vue de retirer des zones localement implantées. Le motif initial défini par la lithographie est ainsi révélé dans le silicium.La compréhension des modifications induites par l’implantation ionique dans le substrat nous a permis de réaliser avec succès un transfert dans le silicium. Nous avons principalement étudié les défauts générés par deux types d’ions : l’argon et l’hydrogène, à travers un certain nombre de techniques de caractérisation. Sur la base de cette étude, les différents traitements humides du silicium ont été investigués : gravure alcaline, gravure acide, dissolution par anodisation. L’optimisation des conditions d’implantation et des paramètres de retrait humide a permis l’obtention de structures 2D puis 3D.La faisabilité de cette technique de structuration a également été démontrée sur d’autres matériaux comme le SiOCH et le nitrure de silicium. / This thesis deals with the development of a patterning process for silicon substrates. Based on ion implantation through a resist pattern to locally modified the underneath layer. Wet etching processes have been developed to reveal the shapes transferred into the silicon substrate. Thanks to morphological, physical and chemical characterizations, modifications induced by ion implantation have been identified and understood.Two ion species (argon and hydrogen) were used in this thesis in order to assess either physical or chemical modifications in silicon substrate. Several wet chemistries: alkaline, acid and dissolution by anodization, were investigated to reveal the final shape. The optimization of the implantation and wet etching processes allowed to obtain 2D and 3D structures with silicon substrate.Moreover, our approach has been successfully implemented to pattern 2D shapes in SiOCH and silicon nitride.

Page generated in 0.0306 seconds