• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 20
  • 4
  • 3
  • 2
  • 1
  • Tagged with
  • 30
  • 30
  • 30
  • 30
  • 20
  • 19
  • 17
  • 13
  • 13
  • 13
  • 13
  • 11
  • 10
  • 10
  • 10
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Passivation de surface des cellules photovoltaïques en silicium cristallin : Dépôt par ALD et caractérisation de couches minces d’Al2O3 / Surface passivation of photovoltaic cells in crystalline silicon : Deposition by ALD and characterization of thin layers of Al2O3

Barbos, Corina 14 December 2016 (has links)
La réduction des recombinaisons aux surfaces des cellules solaires est un enjeu fondamental pour l'industrie photovoltaïque. La passivation des défauts électriques en surface peut être obtenue par la formation de liaisons chimiques ou par l'apport de charges électriques capables de repousser un type de porteurs. Ces effets peuvent être obtenus grâce à des couches minces fonctionnalisées déposées sur les surfaces des matériaux qui constituent les cellules. Dans le cadre de cette thèse nous avons étudié la passivation de surface du silicium par des couches minces d’Al2O3 déposées par ALD. La caractérisation physique, optique, structurale et chimique des couches déposées a été réalisée. Une optimisation du procédé d’élaboration (nettoyage pré dépôt, paramètres de dépôt et de recuit) de couches d’alumine a été nécessaire pour répondre aux exigences de la réduction de recombinaisons de surface et obtenir des résultats de passivation optimisés. Enfin, différentes briques technologiques nécessaires à l’intégration de ces couches dans l’architecture d’une cellule solaire silicium ont été étudiées et développées. / The reduction of recombination at the surfaces of solar cells is a fundamental challenge for the photovoltaic industry. Passivation of surface electrical defects can be achieved by the formation of chemical bonds or by the supply of electric charges capable of repelling a type of carrier. These effects can be obtained by means of functionalized thin layers deposited on the surfaces of the materials which constitute the cells. In this thesis we studied the surface passivation of silicon by thin layers of Al2O3 deposited by ALD. The physical, optical, structural and chemical characterization of the deposited layers was carried out. An optimization of the preparation process (pre-deposition cleaning, deposition and annealing parameters) of alumina layers was necessary to meet the requirements of reduction of surface recombinations and to obtain optimized passivation results. Finally, various technological bricks necessary for the integration of these layers in the architecture of a silicon solar cell have been studied and developed.
2

Addressing thermal and environmental reliability in GaN based high electron mobility transistors

Kim, Samuel H. 27 August 2014 (has links)
AlGaN/GaN high electron mobility transistors (HEMTs) have appeared as attractive candidates for high power, high frequency, and high temperature operation at microwave frequencies. In particular, these devices are being considered for use in the area of high RF power for microwave and millimeter wave communications transmitter applications at frequencies greater than 100 GHz and at temperatures greater than about 150 °C. However, there are concerns regarding the reliability of AlGaN/GaN HEMTs. First of all, thermal reliability is the chief concern since high channel temperatures significantly affect the lifetime of the devices. Therefore, it is necessary to find the solutions to decrease the temperature of AlGaN/GaN HEMTs. In this study, we explored the methods to reduce the channel temperature via high thermal conductivity diamond as substrates of GaN. Experimental verification of AlGaN/GaN HEMTs on diamond substrates was performed using micro-Raman spectroscopy, and investigation of the design space for devices was conducted using finite element analysis as well. In addition to the thermal impact on reliability, environmental effects can also play a role in device degradation. Using high density and pinhole free films deposited using atomic layer deposition, we also explore the use of ultra-thin barrier films for the protection of AlGaN/GaN HEMTs in high humidity and high temperature environments. The results show that it is possible to protect the devices from the effects of moisture under high negative gate bias stress testing, whereas devices, which were unprotected, failed under the same bias stress conditions. Thus, the use of the atomic layer deposition (ALD) coatings may provide added benefits in the protection and packaging of AlGaN/GaN HEMTs.
3

Ανάπτυξη υμενίων ZrO2 σε υποστρώματα p-Ge με τη μέθοδο ALD : μελέτη διεπιφανειακών ιδιοτήτων και μηχανισμών αγωγιμότητας συναρτήσει της θερμοκρασίας / Atomic Layer Deposition (ALD) of ZrO2 thin films on p type Ge : temperature dependence of interfacial properties and conductivity mechanisms

Κερασίδου, Αριάδνη 14 February 2012 (has links)
Στην παρούσα Εργασία λεπτά υμένια (5 -25 nm) ZrO2 έχουν εναποτεθεί με τη μέθοδο ALD σε μη αδρανοποιημένο (100) Ge τύπου-p, με ειδική αντίσταση 0.2-0.5 Ω-cm. Η εναπόθεση του ZrO2 πραγματοποιήθηκε στους 2500C, με τη χρήση διαδοχικών παλμών H2O και Tetrakis (Dimethylamido) Zirconium που ήταν και οι πρόδρομες ενώσεις. Ο δομικός χαρακτηρισμός των υμενίων (στοιχειομετρία, σύνθεση και τραχύτητα της διεπιφάνειας, κρυσταλλογραφική φάση του διηλεκτρικού κλπ) πραγματοποιήθηκε μέσω των μεθόδων XPS και ΤΕΜ. Ο λεπτομερής ηλεκτρικός χαρακτηρισμός των υμενίων έγινε με παράμετρο τη θερμοκρασία σε δομές (πυκνωτές) MOS που έφεραν λευκόχρυσο, Pt, ως μέταλλο πύλης. Πραγματοποιήθηκαν μετρήσεις C-V, C-f με παράμετρο τη θερμοκρασία και για θερμοκρασίες από 300Κ έως 80 Κ. Σύμφωνα με τα αποτελέσματα που προέκυψαν από την παρούσα μελέτη τα υμένια με πάχος μικρότερο των 15 nm εμφανίζουν πολύ φτωχή ηλεκτρική συμπεριφορά, η οποία βελτιώνεται με την αύξηση του πάχους. Σχετικά παχιά (25 nm) υμένια ZrO2 εμφανίζουν πυκνότητα διεπιφανειακών παγίδων της τάξης των 1011 eV-1cm-2, όπως προκύπτουν από μετρήσεις που πραγματοποιήθηκαν στους 80K. Από μετρήσεις I-V με παράμετρο τη θερμοκρασία προκύπτουν οι μηχανισμοί αγωγιμότητας που διέπουν τις μελετούμενες δομές. Η επίδραση της ανόπτησης σε περιβάλλον Forming Gas μετά την εναπόθεση του μετάλλου μελετάται επίσης. Τέλος, μελετώνται οι ηλεκτρικές ιδιότητες δομών Pt/ZrO2 (25 nm)/p-Ge, σε υποστρώματα που περιέχουν ταυτόχρονα περιοχές που έχουν υποστεί ανόπτηση με Laser και περιοχές που δεν έχουν υποστεί ανόπτηση. Η ανόπτηση με Laser φαίνεται να υποβαθμίζει την ηλεκτρική συμπεριφορά της δομής. Ωστόσο, σύμφωνα με τα αποτελέσματα της παρούσας εργασίας, υπάρχουν ενδείξεις ότι οι δομές σε περιοχές που γειτνιάζουν με αυτές που έχουν υποστεί ανόπτηση με Laser εμφανίζουν βελτιωμένες ηλεκτρικές ιδιότητες ακόμη και σε σχέση με τα δείγματα αναφοράς που περιλαμβάνουν δομές που αναπτύχθηκαν σε μη ακτινοβολημένο υπόστρωμα. / In the present Thesis, thin (5 -25 nm) films of ZrO2 have been deposited by Atomic Layer Deposition (ALD) on non-passivated p-type (100) Germanium substrates with resistivity 0.2-0.5 Ω-cm. ZrO2 deposition has been performed at 2500C using a series of alternating pulses of H2O and Tetrakis(Dimethylamido) Zirconium, which were the deposition precursors. Structural characterization of the films in terms of stoichiometry, interface composition and roughness, crystallographic phase of the dielectric etc., has been performed using XPS and TEM analysis. Detailed electrical characterization [C-V, and C-f measurements] of the films as a function of temperature has been performed in MOS capacitors using Pt as gate metal. It has been observed that the electrical behaviour of the films is extremely poor in thickness range below 15 nm, while they show an improvement in higher thickness regime. Thick (25 nm) ZrO2 showed an interface trap density of the order of 1011 eV-1cm-2 extracted at 80K. The conductivity mechanisms of the structures are revealed by I-V measurement at various temperatures. Finally the effect of post-metallization annealing in Forming Gas ambient has been studied. In parallel the electrical properties of structures Pt/ZrO2 (25 nm)/p-Ge, on substrates containing simultaneously laser annealed and non-annealed areas has been studied. It has been obtained that laser annealing of the substrate deteriorates the electrical behaviour of the structure, while it seems that structures on the areas in proximity to the annealed ones revealed superior electrical properties as compared to the corresponding deposited on non-annealed (reference) samples.
4

Thin Films From Metalorganic Precursors : ALD Of VO2 And CVD Of (Al1-xGax)2O3

Dagur, Pritesh 02 1900 (has links)
Thin films and coatings of oxides are used in various fields of science and technology, such as semiconductor and optoelectronic devices, gas sensors, protective and wear resistant coatings etc. Of late, there has been a tremendous interest in pure and doped vanadium dioxide as thermoelectric switch material. VO2 has been doped with hetero-atoms such as W, Mo, Nb, Ti etc. and effects of doping have been correlated with feasibility of being used as a smart window material. The oxide Al2O3 has been studied as an alternative gate dielectric. Ga2O3 is also a contender for replacing SiO2 as a dielectric material. Atomic layer deposition (ALD) is a technique for the deposition of thin films of various materials and is found to be of considerable scientific and technological importance. In particular, using β-diketonate complexes as precursors is very useful in preparing thin films of oxides, as these precursors already contain a metal-oxygen bond. In this thesis, β-diketonate complexes have been used as precursors for deposition of thin films. The thesis has been divided into two parts: First part deals with deposition and characterization of thin films of VO2 on glass and fused quartz. The second part deals with synthesis and chemical and thermal characterization of bimetallic Al-Ga acetylacetonates along with thin film deposition using the same. Chapter 1 presents a brief introduction to application of thin films of oxides in various fields of science and technology. A brief introduction to the ALD reactor used for the current work is also presented. The importance of thermal analysis of precursors for CVD is briefly reviewed. Chapter 2 deals with the instruments and methods used for the work done for this thesis. In Chapters 3 and 4 of the thesis, a detailed study of deposition of VO2 films on glass and fused quartz has been presented. The films deposited have been analyzed using a host of techniques, for their texture, microstructure and electrical properties. In spite of chemical similarities, considerable differences in structure and properties have been observed between the films deposited on the two substrates. These differences have been explained on the basis of the small chemical differences between the two substrates. Chapters 5, 6 and 7 deal with synthesis, thermal characterization and use of bimetallic Al-Ga precursors, respectively. The bimetallic acetylacetonates have been synthesized using ‘homogenization in solution’ approach. Chemical characterization of the precursors revealed that nominal percentages of Al and Ga are retained in the solid precursors. Single crystal structure confirmed the observation. Thermal analysis of the precursors showed that the precursors, which are solid solutions of Al and Ga acetylacetonates, show negative deviation from the Raoult’s Law. Films were deposited using these precursors and were found to near completely retain the composition of the precursors. Chapter 8 of the thesis presents the conclusions of the current work and proposes future directions.
5

In-situ XPS Investigation of ALD Cu2O and Cu Thin Films after Successive Reduction

Dhakal, Dileep, Waechtler, Thomas, E. Schulz, Stefan, Mothes, Robert, Moeckel, Stefan, Lang, Heinrich, Gessner, Thomas 07 July 2014 (has links) (PDF)
This talk was presented in the 14th International Conference on Atomic Layer Deposition (ALD 2014) in Kyoto, Japan on 18th June 2014. Abstract Atomic Layer Deposition (ALD) is emerging as a ubiquitous method for the deposition of conformal and homogeneous ultra-thin films on complex topographies and large substrates in microelectronics. Electrochemical deposition (ECD) is the first choice for the deposition of copper (Cu) into the trenches and vias of the interconnect system for ULSI circuits. The ECD of Cu necessitates an electrically conductive seed layer for filling the interconnect structures. ALD is now considered as a solution for conformal deposition of Cu seed layers on very high aspect ratio (AR) structures also for technology nodes below 20 nm, since physical vapor deposition is not applicable for structures with high AR. Cu seed layer deposition by the reduction of Cu2O, which has been deposited from the Cu(I) β-diketonate [(nBu3P)2Cu(acac)] (1) used as Cu precursor, has been successfully carried out on different substrates like Ta, TaN, SiO2, and Ru [1, 2]. It was found that the subsequent gas-phase reduction of the Cu2O films can be aided by introducing catalytic amounts of a Ru precursor into the Cu precursor, so that metallic copper films could potentially obtained also on non-catalytic substrates [3, 4]. In this work, in situ X-ray photoelectron spectroscopy (XPS) investigation of the surface chemistry during Cu2O ALD from the mixture of 99 mol % of 1 and 1 mol % of [Ru(η5 C5H4SiMe3)(η5-C7H11)] (2) as ruthenium precursor, and the reduction of Cu2O to metallic Cu by formic acid carried out on SiO2 substrate are demonstrated. Oxidation states of the Cu in the film are identified by comparing the Cu Auger parameter (α) [5] with literature data. α calculated after ALD equals 362.2 eV and after reduction equals 363.8 eV, comparable to the Cu2O and metallic Cu in thin-films [6] respectively. In addition, <10 % of Cu(I), Cu(II), and Cu(OH)2 species are identified from the Cu 2p3/2 and Cu L3VV Auger spectrum after reduction. Consequently, the ALD Cu2O is successfully reduced to metallic copper by in-situ thermal reduction using formic acid. [1] T. Waechtler et al., J. Electrochem. Soc., 156 (6), H453 (2009). [2] T. Waechtler et al., Microelectron. Eng., 88, 684 (2011). [3] S. Mueller et al., Conference Proceedings SCD 2011, Semiconductor Conference Dresden, pp. 1-4. [4] T. Waechtler et al., US Patent Application Publication, US 2013/0062768. [5] C. D. Wagner, Faraday Discuss. Chem. Soc., 60, 291 (1975). [6] J. P. Espinós et al., J. Phys. Chem. B, 106, 6921 (2002).
6

Ανάπτυξη υμενίων Αl2O3 σε υποστρώματα p-Ge με τη μέθοδο ALD : μελέτη διεπιφανειακών ιδιοτήτων συναρτήσει του πάχους και της θερμοκρασίας / Atomic layer deposition (ALD) of Αl2O3 thin films on p type Ge : thickness and temperature dependence of interfacial properties

Μποτζακάκη, Μάρθα 14 February 2012 (has links)
Θέμα της παρούσας ερευνητικής εργασίας είναι η μελέτη διατάξεων MOS σε υπόστρωμα Ge τύπου –p. Ως διηλεκτρικό πύλης χρησιμοποιήθηκε Al2O3 και ως μέταλλο πύλης Pt. Τέτοιες διατάξεις οι οποίες αποτελούνται από υπόστρωμα Ge στο οποίο εναποτίθεται διηλεκτρικό υψηλής διηλεκτρικής σταθεράς (high-k dielectric) εμφανίζουν ιδιαίτερο ερευνητικό και τεχνολογικό ενδιαφέρον για τους παρακάτω κυρίως λόγους: (i) Το Ge εμφανίζει υψηλότερη ευκινησία φορέων έναντι αυτής του Si. Eπομένως η χρήση υποστρωμάτων Ge στις διατάξεις MOS θεωρείται πλεονεκτική έναντι της χρήσης υποστρωμάτων Si, τα οποία μέχρι σήμερα έχουν μονοπωλήσει τις τεχνολογικές εφαρμογές και κατ’ επέκταση την έρευνα γύρω από αυτές. (ii) Η χρήση υλικών υψηλής διηλεκτρικής σταθεράς, όπως το Al2O3, ως διηλεκτρικά πύλης φέρεται πλέον ως ιδιαίτερα ελπιδοφόρα για την μελλοντική κατασκευή λειτουργικών διατάξεων MOS. (iii) Πρόσφατες μελέτες έχουν αποδείξει ότι, κατά την ανάπτυξη Al2O3 στα υποστρώματα Ge, στη διεπιφάνεια Ge/Al2O3, δημιουργείται ένα λεπτό στρώμα οξειδίου του γερμανίου, το οποίο αποτελεί βασική προϋπόθεση για την κατασκευή λειτουργικών CMOS δομών. Η ανάπτυξη των υμενίων Al2O3 στα υποστρώματα Ge έγινε με την τεχνική Eναπόθεσης Ατομικού Στρώματος (Atomic Layer Deposition- ALD), η οποία είναι μια από τις πιο διαδεδομένες και πολλά υποσχόμενες τεχνικές στον τομέα της Μικροηλεκτρονικής. Βασικά πλεονεκτήματα της μεθόδου αυτής έναντι άλλων μεθόδων εναπόθεσης (CVD, MBE κ.λπ.), είναι η άριστη ποιότητα και ομοιογένεια των αναπτυσσόμενων υμενίων, καθώς και ο απόλυτος έλεγχος του πάχους τους. Στόχος της εργασίας αυτής, είναι η μελέτη των ηλεκτρικών ιδιοτήτων δομών p-Ge/Al2O3/Pt, καθώς και της διεπιφάνειας Ge/Al2O3. Παρασκευάστηκαν δομές με πάχος διηλεκτρικού (Al2O3) 5nm, 10nm,15nm και 25nm σε θερμοκρασία εναπόθεσης 300oC. Ο δομικός χαρακτηρισμός των δειγμάτων έγινε με φασματοσκοπία XPS (X-ray Photoelectron Spectroscopy), ενώ ο ηλεκτρικός τους χαρακτηρισμός έγινε με τη μέθοδο της Διηλεκτρικής Φασματοσκοπίας Ευρέως Φάσματος (Broadband Dielectric Spectroscopy-BDS) στην περιοχή συχνοτήτων από 100Ηz έως 1ΜΗz. Τα αποτελέσματα της μελέτης του δομικού χαρακτηρισμού έδειξαν, ότι αυξανομένου του πάχους του υμενίου Al2O3, το πάχος του αναπτυσσόμενου Οξειδίου του Γερμανίου (GeOx) αυξάνεται. Παράλληλα υπάρχει ένδειξη πιθανής αλλαγής της στοιχειομετρίας του GeOx. Ο ηλεκτρικός χαρακτηρισμός των δομών αυτών, πραγματοποιήθηκε με παράμετρο αφενός μεν το πάχος του Al2O3 σε θερμοκρασία περιβάλλοντος, αφετέρου δε με παράμετρο τη θερμοκρασία, στην περιοχή θερμοκρασιών από 78Κ - 200Κ. Ελήφθησαν οι χαρακτηριστικές C-V και C-f, από τις οποίες προκύπτουν τα συμπεράσματα που αφορούν στην ηλεκτρική συμπεριφορά των δομών αλλά και στην ποιότητα της διεπιφάνειας Ge / Al2O3. Σε όλες τις δομές, ανεξαρτήτως του πάχους του Al2O3, οι χαρακτηριστικές C-V παρουσιάζουν την τυπική συμπεριφορά της δομής MOS, με διάκριτες τις τρεις περιοχές συσσώρευσης, απογύμνωσης και αναστροφής φορέων. Οι χαρακτηριστικές C-V σε θερμοκρασία περιβάλλοντος παρουσίασαν, σε όλα τα πάχη, φαινόμενα γένεσης – επανασύνδεσης φορέων, τα οποία εμφανίζονται υπό τη μορφή “γονάτων” στην περιοχή απογύμνωσης/ασθενούς αναστροφής. Τα φαινόμενα αυτά συνδέονται άμεσα με το μικρό ενεργειακό χάσμα του Ge και το μεγάλο πλήθος ενδογενών φορέων αγωγιμότητας που χαρακτηρίζει το Ge στη θερμοκρασία περιβάλλοντος. Αυτά τα φαινόμενα δεν παρατηρούνται στις χαμηλές θερμοκρασίες. Επιπλέον, από τις μετρήσεις C-f και εφαρμόζοντας τη μεθόδου αγωγιμότητας (conductance method), η οποία εφαρμόστηκε σε όλες τις θερμοκρασίες, προέκυψαν οι τιμές της πυκνότητας των διεπιφανειακών καταστάσεων, Dit’s, των δομών αυτών. Από τα αποτελέσματα αυτά, προκύπτει το συμπέρασμα ότι οι τιμές των Dit’s στη θερμοκρασία περιβάλλοντος εμφανίζονται αυξημένες σε σχέση με τις αντίστοιχες στις χαμηλές θερμοκρασίες. Η υπερεκτίμηση αυτή, η οποία μπορεί να φτάσει και τις 2 τάξεις μεγέθους, οφείλεται στην εμφάνιση των “γονάτων” στη χαρακτηριστική C-V. Επομένως το πραγματικό πλήθος των διεπιφανειακών καταστάσεων υπολογίζεται από την ανάλυση των πειραματικών μετρήσεων στις χαμηλές θερμοκρασίες. Επιπλέον, προκύπτει το συμπέρασμα ότι, η πυκνότητα διεπιφανειακών καταστάσεων, Dit’s στις δομές με το μικρότερο πάχος είναι μικρότερη από την αντίστοιχη σε παχύτερες δομές. Η συμπεριφορά αυτή έρχεται σε αντίθεση με την αναμενόμενη και η ερμηνεία της, πιθανώς να συνδέεται με τα αποτελέσματα της φασματοσκοπίας XPS σύμφωνα με τα οποία, αυξανομένου του πάχους του Al2O3, υπάρχουν ενδείξεις μεταβολής της στοιχειομετρίας του Οξειδίου του Γερμανίου. / The subject of the present research work is the study of MOS structures on p- type Ge substrates. Al2O3 was used as gate dielectric and Pt as metal gate. Such devices, which are comprised by Ge substrate on which a high -k dielectric material is deposited, are of high scientific and technological interest for the following reasons: (i) Ge shows higher carrier mobility compared to that of Si. Therefore, the use of Ge substrates in MOS devices is considered advantageous compared to Si substrates, which up to date have been used exclusively for technological applications. (ii) The use of high -k materials seems to be more promising for the construction of functional MOS structures. (iii) Recent results have shown that a thin layer of Ge oxide builds up at the Ge/Al2O3 interface during the deposition of Al2O3 on Ge. This is a basic requirement for the operation of CMOS devises. In the current work, Al2O3 films were deposited on Ge substrates by Atomic Layer Deposition (ALD). ALD is one of the most widespread and very promising techniques in microelectronics. Basic advantages of this method, compared to other deposition techniques (e.g. CVD, MBE and others), are the quality and homogeneity of the films as well as the absolute control of their thickness. The purpose of the present work is the study of the electrical properties of Ge/ Al2O3 /Pt structures as well as of the quality of the Ge/ Al2O3 interface. Structures with Al2O3 thickness of 5 nm, 10 nm, 15 nm and 25 nm were prepared, at deposition temperature of 3000C. The structural characterization of the samples was performed by means of X-Ray Photoelectron Spectroscopy –XPS whereas the electrical characterization was performed with the Broadband Dielectric Spectroscopy- BDS in the frequency range from 100 Hz to 1 MHz. The XPS results suggest that the thickness of the Ge oxide (GeOx), grown during deposition, increases by increasing the thickness of the deposited Al2O3 films. Furthermore, there is evidence of possible change in the stoichiometry of GeOx. The electrical behaviour of these structures was determined using as parameters either the thickness of Al2O3 at room temperature or the temperature at constant thickness. Measurements were performed in the range of 78 oC to 200 oC. C-V and C-f characteristics were constructed, from which conclusions are drawn regarding the electrical behaviour of the structures and the quality of the interface Ge/ Al2O3. The C-V characteristics of all samples, show the typical behaviour of a MOS structure with the three distinct regions of accumulation, depletion and inversion, regardless the thickness of Al2O3. The C-V characteristics at room temperature show generation-recombination phenomena, which are demonstrated through “humps” in depletion / weak inversion regime. These phenomena are intimately connected with the small energy gap and the large density of intrinsic conductivity carriers of Ge. These “humps” do not appear at low temperatures, below 170K, indicating that “generation-recombination” phenomena have been suppressed by reducing temperatures. Furthermore, from C-f measurements the values of the interfacial trap density (Dit) were determined through the conductance method, in all temperatures. The Dit values at room temperature seem to be overestimated compared to those at low temperatures due to the “generation- recombination” phenomena. Therefore, the actual density of interfacial traps is determined from the analysis at low temperatures. Furthermore, the Dit values of the Al2O3 -10nm structure are lower compared to those of the Al2O3 -25nm structure. This behavior, which is in contradiction to the expected one, is connected with the XPS results, according to which there is evidence of change in the stoichiometry of Ge oxide as the thickness of Al2O3 is increased.
7

Investigating and Fabricating High-K (Al2O3) and Ferroelectric (HfO2) MIM-Capacitors for use in BEOL Fabrication Applications / Undersökning och tillverkning av hög-K (Al2O3) och ferroelektriska (HfO2) MIM-kondensatorer för användning i BEOL-tillverkningstillämpningar

Hackett, Thomas January 2021 (has links)
Integration of high-K Metal-Insulator-Metal (MIM) capacitors in the Back-end-of-line (BEOL) is a topic of interest for the further development of the process at KTH Royal Institute of Technology. MIM-capacitors benefit from having constant capacitance values over a range of voltages and/or frequencies. One significant limitation in the development of better MIM-capacitors is the temperature consideration for BEOL processes. For the process at KTH Institute of Technology the temperature should not exceed 600 °C, as this would damage underlying devices. This work aims to fabricate aluminium oxide MIM-capacitors as a standard BEOL process performed at low temperature, which has been achieved via atomic layer deposition (ALD). The fabricated aluminium oxide MIM-capacitors had a good quality factor, series resistance and low dissipation. The capacitance for a 10 nm thick aluminium oxide insulator layer was 1 µF/cm2, which exceeds the set requirement. This work also aimed to make ferroelectric aluminium doped hafnium oxide MIM-capacitors using ALD. The doping ratio was varied in ALD as this had been found to affect formation of the ferroelectric crystal phase after a rapid thermal annealing step. Three wafers of 20 nm thick hafnium oxide and differing ratios were found to not be ferroelectric. The intermediate doping ratio was found to appear slightly anti-ferroelectric. A 10 nm thick doped hafnium oxide of intermediate doping was also fabricated and was found to be ferroelectric with a remnant polarisation of 1 µC/cm2. Though this polarisation is relatively small, it shows that top electrode induced strain due to lattice mismatch could be responsible for the ferroelectric properties of the capacitor. The quality of the hafnium based capacitors seemed worse in comparison to the aluminium oxide capacitors, which is suspected to be due to oxygen vacancies, resulting in a high loss tangent. While this first experiment showed promising results, the ferroelectric remnant polarisation should be increased by an order of magnitude and the electrical benchmark values should be improved before these hafnium oxide MIM-capacitors can be used in the BEOL process. / Integratie van high-K MIM-condensatoren in de Back-end-of-line (BEOL) is een onderwerp van belang voor de ontwikkeling van het proces bij de KTH. MIM-condensatoren profiteren van een constante capaciteitswaarde over een reeks spanningen en/of frequenties. Een belangrijke beperking bij de ontwikkeling van betere MIM-condensatoren is het temperatuur limiet voor BEOL-processen. Bij de KTH moet de temperatuur niet hoger zijn dan 600 °C, omdat dit de onderliggende apparaten zou beschadigen. Dit werk heeft tot doel aluminiumoxide MIM-condensatoren te fabriceren als een standaard BEOL-proces met lage temperatuur, en heeft dit inderdaad bereikt via atomaire laagafzetting (ALD). De gefabriceerde aluminiumoxide MIM-condensatoren hadden een goede kwaliteitsfactor, serieweerstand en lage dissipatie. De capaciteit voor een 10 nm dikke aluminiumoxide-isolatorlaag was 1µF/cm2, hoger dan de gestelde eisen. Dit werk was ook gericht op het maken van ferro-elektrische aluminium gedoteerde hafniumoxide MIM-condensatoren met behulp van ALD. De doteringsverhouding werd gevarieerd in ALD, aangezien bleek dat dit de vorming van de ferro-elektrische kristalfase faciliteerde na een snelle thermische gloeistap. Drie wafers van 20 nm dik hafniumoxide en verschillende verhoudingen bleken niet ferro-elektrisch te zijn. De tussenliggende doteringsverhouding bleek enigszins anti-ferro-elektrisch te zijn. Een 10 nm dik gedoteerd hafniumoxide met intermediaire dotering werd ook gefabriceerd en bleek ferro-elektrisch te zijn met een restpolarisatie van 1 µC/cm2. Hoewel deze polarisatie relatief klein is, toont het aan dat de door de topelektrode geïnduceerde spanning als gevolg van roostermismatch verantwoordelijk zou kunnen zijn voor de ferro-elektrische eigenschappen van de condensator. De kwaliteit van de op hafnium gebaseerde isolator leek slechter in vergelijking met die van aluminiumoxide, hetgeen kan worden toegeschreven aan gebrek van zuurstof in het rooster, wat in een groot verlies resulteert. De ferro-elektriciteit moet met een orde van grootte worden verhoogd en de elektrische benchmarks moeten ook verhoogd worden voordat deze hafniumoxide MIM-condensatoren kunnen worden gebruikt in het BEOLproces. Sleutelwoorden: atomaire laagafzetting (ALD), Ferro-elektrisch, Metaal-Isolator- Metaal (MIM) condensator, lage temperatuur, snelle thermische gloeiing.
8

Copper Oxide ALD from a Cu(I) <beta>-Diketonate: Detailed Growth Studies on SiO2 and TaN

Waechtler, Thomas, Roth, Nina, Mothes, Robert, Schulze, Steffen, Schulz, Stefan E., Gessner, Thomas, Lang, Heinrich, Hietschold, Michael 03 November 2009 (has links) (PDF)
The atomic layer deposition (ALD) of copper oxide films from [(<sup>n</sup>Bu<sub>3</sub>P)<sub>2</sub>Cu(acac)] and wet oxygen on SiO<sub>2</sub> and TaN has been studied in detail by spectroscopic ellipsometry and atomic force microscopy. The results suggest island growth on SiO<sub>2</sub>, along with a strong variation of the optical properties of the films in the early stages of the growth and signs of quantum confinement, typical for nanocrystals. In addition, differences both in growth behavior and film properties appear on dry and wet thermal SiO<sub>2</sub>. Electron diffraction together with transmission electron microscopy shows that nanocrystalline Cu<sub>2</sub>O with crystallites < 5 nm is formed, while upon prolonged electron irradiation the films decompose and metallic copper crystallites of approximately 10 nm precipitate. On TaN, the films grow in a linear, layer-by-layer manner, reproducing the initial substrate roughness. Saturated growth obtained at 120&deg;C on TaN as well as dry and wet SiO<sub>2</sub> indicates well-established ALD growth regimes. <br> &copy; 2009 The Electrochemical Society. All rights reserved.
9

Surface chemistry of a Cu(I) beta-diketonate precursor and the atomic layer deposition of Cu2O on SiO2 studied by x-ray photoelectron spectroscopy

Dhakal, Dileep, Waechtler, Thomas, E. Schulz, Stefan, Gessner, Thomas, Lang, Heinrich, Mothes, Robert, Tuchscherer, Andre 07 July 2014 (has links) (PDF)
This article has been published online on 21st May 2014, in Journal of Vacuum Science & Technology A: Vac (Vol.32, Issue 4): http://scitation.aip.org/content/avs/journal/jvsta/32/4/10.1116/1.4878815?aemail=author DOI: 10.1116/1.4878815 This article may be accessed via the issue's table of contents at this link: http://scitation.aip.org/content/avs/journal/jvsta/32/4?aemail=author The surface chemistry of the bis(tri-n-butylphosphane) copper(I) acetylacetonate, [(nBu3P)2Cu(acac)], and the thermal atomic layer deposition (ALD) of Cu2O using this Cu precursor as reactant and wet oxygen as co-reactant on SiO2 substrates are studied by in-situ X-ray photoelectron spectroscopy (XPS). The Cu precursor was evaporated and exposed to the substrates kept at temperatures between 22 °C and 300 °C. The measured phosphorus and carbon concentration on the substrates indicated that most of the [nBu3P] ligands were released either in the gas phase or during adsorption. No disproportionation was observed for the Cu precursor in the temperature range between 22 °C and 145 °C. However, disproportionation of the Cu precursor was observed at 200 °C, since C/Cu concentration ratio decreased and substantial amounts of metallic Cu were present on the substrate. The amount of metallic Cu increased, when the substrate was kept at 300 °C, indicating stronger disproportionation of the Cu precursor. Hence, the upper limit for the ALD of Cu2O from this precursor lies in the temperature range between 145 °C and 200 °C, as the precursor must not alter its chemical and physical state after chemisorption on the substrate. 500 ALD cycles with the probed Cu precursor and wet O2 as co reactant were carried out on SiO2 at 145 °C. After ALD, in situ XPS analysis confirmed the presence of Cu2O on the substrate. Ex-situ spectroscopic ellipsometry indicated an average film thickness of 2.5 nm of Cu2O deposited with a growth per cycle of 0.05 Å/cycle. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) investigations depicted a homogeneous, fine, and granular morphology of the Cu2O ALD film on SiO2. AFM investigations suggest that the deposited Cu2O film is continuous on the SiO2 substrate.
10

Studies On CVD And ALD Of Thin Films Of Substituted And Composite Metal Oxides, Including Potential High-k Dielectrics

Gairola, Anshita 09 1900 (has links) (PDF)
The work carried out as a part of this thesis has been focussed on understanding different aspects of the chemical vapor deposition process namely, ALD / MOCVD. A large part of the thesis is aimed at solving the problem of a single-source precursor for the MOCVD process to obtain substituted metal oxide thin films. For a chemical vapor deposition technique, it is important to understand the requisite salient features of precursor for deposition of thin films. For this purpose, not only is the structural characterization of the chemical precursor is required but also an in-depth thermal analysis of the precursor to know its vapor pressure. Vapor pressure of a metalorganic complex is one of the important properties to evaluate the applicability of a metalorganic complex as a MOCV/ALD precursor. The thesis discusses a novel approach to use thermal analysis as a tool to gauge the viability of substituted metal “single source” precursor for MOCVD/ALD. The other half deals with material characterization of thin films grown by an ALD process using hydrogen and Ti(OiPr)2(tbob)2 as precursors. The films were further studied for their potential application as high-k dielectric in DRAM applications. The first chapter is an overview of topics that are relevant to the work carried out in this thesis. The chapter focuses on the description of techniques used for thin film deposition. A detailed review of CVD-type techniques (ALD/ MOCVD) is then given. Chapter1 reviews the various process parameters involved in ALD,i.e. film growth(specifically as a function of the reactant pulse length, the nature of the chemical reactant/precursor and that of the metal precursor, and purge length) and growth temperature. Following the discussion of ALD, CVD and its growth kinetics are also discussed. Chapter 1 then outlines a holistic understanding of precursors, followed the differences in requirement for using them in ALD and MOCVD. Further, an introduction to the titanium oxide (Stoichiometric titanium dioxide and various Magneli phases) system, its phase diagram, oxide properties and their applications is given. Chapter 1 concludes by delineating the scope of the work carried out which is presented in the thesis. The second chapter deals with the synthesis of a series of substituted metal “single source” precursors to be used for MOCVD of substituted metal oxides thin films. The precursor complexes were of the type AlxCr1-x (acac)3 where 0<x<1. The complexes were synthesized using the novel approach of co-synthesis and were characterized by various spectroscopic techniques. Single crystal X-ray diffraction at low temperature was carried out to understand the substitution of metal in the complex crystallographically. The substituted metal complexes synthesized and characterized in chapter 2 were further evaluated for their viability as single source precursors for MOCVD application, using thermo-gravimetry as discussed in chapter 3. Vapor pressure of these complexes was determined by using the Langmuir equation, while the enthalpies of submission and evaporation were calculated using the Clausius-Clapeyron equation. One of the composition of the series of substituted metal complexes, viz., Al0.9Cr0.1(acac)3, was employed on MOCVD reactor as precursor to obtain thin films on three substrates, Si(100), fused silica, and polycrystalline x- alumina, simultaneously. The resultant thin films were characterized using XRD, electron microscopy, FTIR, EDS, X-ray mapping, and UV-vis spectroscopy. Chapter 4 deals with the growth of titanium oxide thin films using ALD. The metal precursor used was Ti(OiPr)2(tbob)2 and the reactant gas was hydrogen. Hydrogen, a reducing gas, was deliberately used to obtain the reduced defect oxide phases of titanium, commonly called Magneli phases. The growth rate of films grown on p-Si(100) was studied with respect to the substrate temperature, vaporizer temperature, pulse duration of metal precursor and pulse duration of the reactive gas. Also, the concept of complementarity of a reaction and self-limiting behavior in a true ALD process was illustrated. The deposition conditions such as substrate temperature and reactive gas flows have been varied to optimize the phase content and the morphology of the films. The films grown were characterized to determine the various phases of titanium oxide present using XRD, TEM, FTIR spectroscopy, Raman spectroscopy, and UV-vis spectroscopy. The presence of carbon was revealed by Raman spectroscopy. By using these characterization techniques, it was concluded that the film grown is a composite made of stiochiometric TiOx matrix embedded with crystallites of (reduced) Magneli phases. Chapter 5 deals with the electrical properties of the composite thin films grown in chapter 4. the films behave as percolative capacitor which could be used for application as novel high-k dielectric material for DRAM. The effect of change in flow rates of reactive gas (H2) on the dielectric constant (k) and leakage current of the film were studied. It was found that phase composition of the film plays an important role in tuning the dielectric properties of the film was also studied. The effect of thickness of the film also studied on the dielectric properties of the film. The trend observed was correlated to the morphology of the film as a function of its thickness and the grain growth mechanism as observed from high resolution scanning electron microscopy. Further, the effect of change in substrate temperature, metal precursor pulse length, and of the metal used as top electrode, on C-V and I-V characteristics were studied. It was interesting to see that the presence of the more conductingTi5O9 (than Ti3O5) enhances the dielectric constant, which is a requisite for a high-k material for DRAM application. On the other hand, the presence of Ti5O9 also increased the leakage current in the film, which was not desirable. It therefore suggested itself that an optimum embedment of Ti5O9 in the composite helps in enhancing the dielectric constant, while maintaining a low leakage current. Under optimum conditions, a dielectric constant of 210 at 1MHz was measured with a leakage current of 17 nA. The effect of the presence of carbon in the film was studied using Raman Spectroscopy, and it was found that a high leakage was associated with films having greater carbon content. In this chapter, electrical properties of composite thin films were also compared with those of stoichiometric titanium dioxide (a known dielectric). Further, a multilayer sandwich structure was proposed, such that it had a 53 mm thick stoichiometric TiO2 layer followed by 336nm thick composite film and again a 53nm thick stoichiometric titanium dioxide layer. The dielectric characteristics of this structure were found to be better than those of either of the other two.viz., stoichiometric titanium dioxide film or the composite thin film of titanium oxide.

Page generated in 0.5456 seconds