Spelling suggestions: "subject:"cellbased"" "subject:"cell:based""
31 |
Uso de técnicas de proteoma e genoma funcional para revelar as bases moleculares da ação anti-tumoral de ácido retinóico / Use of proteomics and functional genomics to unravel the molecular mechanisms of retinoic acid as an anti-tumor agentMontor, Wagner Ricardo 09 March 2005 (has links)
Controlar a proliferação celular de tumores é um objetivo que vem sendo perseguido há décadas, com moderado sucesso na maioria dos casos. Dentre os diversos tipos de tumores que atingem a humanidade, alguns gliomas são considerados os mais fatais, por haver pouca ou nenhuma alternativa de tratamento efetivo. Agentes que apresentam propriedades anti-tumorais, como glicocorticóides (GC) e a forma all-trans do ácido retinóico (ATRA) são utilizados como adjuvantes no tratamento de alguns tipos de glioma. Entretanto, apesar de serem moléculas bastante conhecidas, pouco se sabe sobre seu mecanismo de ação como anti-tumoral. Para endereçar este problema, nosso laboratório se propôs a isolar e caracterizar genes regulados por estes agentes, utilizando modelos celulares, como as linhagens C6 e ST1 de glioma de rato, e as linhagens T98G e A172 de glioma humano. A linhagem C6 apresenta características de células transformadas e tumorais em cultura, e responde a GC, ou ATRA, com inibição de crescimento e achatamento celular. A linhagem ST1, variante derivado da C6, é hiper-responsiva ao tratamento com GC e, aparentemente, mais responsiva ao tratamento com ATRA, passando por um processo de completa reversão fenotípica tumoral-normal, devido ao expressivo aumento do tempo de dobramento, diminuição da densidade de saturação, recuperação da dependência de fatores de crescimento presentes no soro fetal bovino e da dependência de ancoragem para proliferação e perda do potencial tumorigênico, além de sofrer alterações morfológicas, como um maior achatamento celular e reorganização em feixes paralelos, que a aproximam do fenótipo normal. No presente trabalho buscou-se alterações moleculares induzidas por ATRA em células ST1, para melhor compreender a cascata de eventos desencadeada por ação deste fármaco. Em paralelo foram realizados estudos da ação de ATRA sobre as células T98G, buscando-se correlacionar os dados obtidos em modelo celular murino com modelos humanos. Para tanto, duas metodologias de estudo foram aplicadas: a) análise proteômica através de eletroforese bidimensional de proteínas (2D-PAGE), acoplada à espectrometria de massa (MALDI-TOF), para gerar perfis de expressão protéica na ausência e na presença de ATRA, permitindo comparação e identificação de proteínas moduladas no processo; b) construção de vetores plasmideais e retrovirais para super-expressar ou bloquear a expressão de um inibidor de serina protease de rato (serpinb6), descrito previamente no laboratório como estando potencialmente envolvido no processo de reversão fenotípica de ST1 induzido por ATRA. A abordagem proteômica permitiu a identificação de sete proteínas potencialmente reguladas por ATRA no modelo celular ST1, como as proteínas envolvidas em proliferação celular (c-Fos e SCGF), as proteínas de citoesqueleto (actina e tubulina), as proteínas envolvidas em estresse celular (GRP78 e Hsc70) e a proteína TCTP, classicamente reprimida em processos de reversão do fenótipo tumoral. O uso de construções plasmideais e retrovirais permitiu a obtenção de populações celulares que super-expressam serpinb6 e a análise de fenótipo destas células indicou que serpinb6 também pode ter função citoprotetora em células ST1, o que a coloca junto com as proteínas GRP78 e Hsc70 identificadas, evidenciando a importância desta classe de proteínas no processo estudado. / Control of tumor cell proliferation is an objective that has been pursued for decades, with modest or no success in the majority of the cases. Among the several kinds of tumors that develop in humans, some gliomas are considered the most fatal, due to the lack of alternatives for effective treatment. Anti-tumor agents, such as glucocorticoids (GC) or all-trans retinoic acid (ATRA) are used in combination with other drugs in some glioma cases. However, besides being very known molecules, their anti-tumor mechanism is not completely understood. In order to address this problem, our laboratory decided to isolate and characterize genes regulated by these agents, using cellular models, such as the C6 and ST1 rat glioma cell lines and the T98G and A172 human glioma models. The C6 cell line is fully transformed and tumoral in culture and responds to GC or ATRA treatment, showing growth inhibition and cell flattening. The ST1 variant is hyper-responsive to the treatment with GC and, apparently, more responsive to the treatment with ATRA, when compared to C6. Upon treatment with these agents, it undergoes a complete tumoral to normal phenotypic reversion, characterized by an increase in doubling time, decrease of saturation density in culture, recovery of dependence of serum factors for proliferation and anchorage for colony formation, besides inhability to form tumors in nude mice and morphological changes. Here we present the efforts undertaken towards better understanding of the molecular changes induced by ATRA in ST1 cells. Aiming at the correlation of the data obtained from a rat model with human models, all the studies were performed in parallel with the T98G human glioma cell model. To this end, two study methodologies were applied: a) proteomic analysis through bidimensional electrophoresis coupled to MALDI-TOF identification, to generate protein expression profiles in the presence and absence of ATRA, allowing comparison and identification of proteins modulated in the process; b) construction of plasmid and retroviral vectors to overexpress or block the expression of a serine protease inhibitor (serpinb6), previously described in the laboratory as being potentially involved in the process of tumoral to normal phenotypic reversion promoted by ATRA in ST1. The proteomics approach allowed the identification of seven proteins potentially regulated by ATRA in ST1, such as the proteins involved in cell proliferation (c-Fos and SCGF), cytoskeleton organization (actin and tubulin), cellular stress (GRP78 and Hsc70) and the tumor related protein TCTP, classically repressed in tumoral to normal reversions, and related to the three groups of proteins mentioned above. By using plasmid and retroviral vectors it was possible to obtain recombinant cell populations over-expressing serpinb6. The phenotype analysis of these populations indicated that serpinb6 can also have cell protection effects in ST1, which would classify it together with GRP78 and Hsc70 as an anti-stress protein highlighting the importance of this protein class in the process studied.
|
32 |
Self-powered bio-sensing platform with glucose energy harvesting fuel cellBunga, Santos January 2016 (has links)
The design and implementation of self-powered, low power implant microcontroller, with wireless data transmitter system that captures data as subcutaneous bio-sensing platform has been achieved with glucose fuel cell (GFC) energy harvesting power solution. Data transfer is unidirectional, implant to reader and is initiated by a single transmission from the external reader. The implant's memory contents are transmitted as a stream of wireless pulses to the reader. This work explored two different approaches on current technologies used for designing self-powered bio medical devices (BMDs) and active implantable medical devices (IMDs), their processing, sampling data, transmission of data and energy hasting powering techniques with a view to identifying state-of-the art technologies and methods to improve the long-term powering and recharging of IMDs via a highly safe, efficient and convenient way. The designed low power implant microcontroller, with wireless data transmitter system combines glucose energy harvesting technique by using materials with efficient catalyst capabilities based on platinum nanoparticles supported on Vulcan carbon cloth (PtVCC) as a cathode electrode for GFC configuration, while plain Platinum (Pt) mesh/sheet acted as anode. The PtVCC and Pt electro-reaction, catalytic activities and stability resulted in a design of a direct GFC with high output voltage and current, >0.4V and >300μA respectively per cell, and increased this voltage to value >4V, to power the implant system, by using a voltage booster; direct current to direct current (DC-DC) converter circuit, and a rechargeable battery. The innovative self-powered bio-sensing platform integrating GFC design, meets the self-powered IMDs expectations in terms of simplified fabrication and materials that allows one-compartment design that can directly be placed on the surface of medical implant to provide sufficient output power boosted by DC-DC converter to produced higher output voltage ten times greater than the input value, enough to power most efficient electronic devices. This research therefore proposes the practicability and potential of designing and implementing a wireless bio sensor system powered by an energy harvesting solution, based on GFC to produce a proof-of-concept design system and integration, including power management and data communication (sampling and transmission) platform suitable for self-low-powered periodically-activated IMD.
|
33 |
Perfil proteômico do líquido cefalorraquidiano após transplantes intratecal de células estromais mesenquimais multipotentes em equinosSvicero, Denis Jeronimo. January 2019 (has links)
Orientador: Rogerio Martins Amorim / Resumo: Estudos com células estromais mesenquimais multipotentes (MSCs) estão em crescente progresso devido às suas propriedades imunomoduladoras, antiinflamatórias, antiapoptóticas e de regeneração tecidual, tornando essa modalidade de terapia celular promissora no tratamento de diversas doenças. Devido à limitada capacidade regenerativa do sistema nervoso central (CNS), causando sequelas funcionais, as MSCs estão sendo investigadas como uma alternativa terapêutica para condições neurológicas inflamatórias, vasculares, traumáticas e degenerativas em diversas espécies animais. A Mieloencefalite protozoária equina (EPM) causada por ambos os protozoários do filo Apicomplexa, Sarcocystis neurona e Neospora hughesi, permanece como uma importante doença neurológica dos equinos nas Américas, embora a maioria dos casos seja devida à infecção por S. neurona. A aplicação da proteômica com sua gama de ferramentas na clínica de equinos pode contribuir significativamente para o entendimento de processos patológicos e facilitar a descoberta de novos alvos terapêuticos ou marcadores diagnósticos. Neste contexto, os objetivos deste estudo foram avaliar o perfil proteômico do líquido cefalorraquidiano (CSF) antes e após múltiplos transplantes intratecal de MSCs em equinos hígidos e o perfil proteômico do CSF de equinos cronicamente afetados pela EPM. Doze cavalos adultos clinicamente saudáveis foram divididos aleatoriamente em três grupos experimentais: grupo DPBS (DPBS ou control; n = 4) onde a sol... (Resumo completo, clicar acesso eletrônico abaixo) / Abstract: Multipotent mesenchymal stromal cell (MSCs) studies are under increasing progress because of their immunomodulatory, anti-inflammatory, antiapoptotic and tissue regeneration properties, making this modality of cell therapy promising in the treatment of various diseases. Due to the limited regenerative capacity of the central nervous system (CNS), causing functional sequelae, MSCs are being investigated as a therapeutic alternative for inflammatory, vascular, traumatic and degenerative neurological conditions in various animal species. Equine protozoal myeloencephalitis (EPM) caused by both protozoa of the Apicomplexa phylum, Sarcocystis neurona and Neospora hughesi, remains an important neurological disease in horses in the Americas, although most cases are due to S. neurona infection. The application of proteomics with its range of tools in the equine clinic can contribute significantly to the understanding of pathological processes and facilitate the discovery of new therapeutic targets or diagnostic markers. In this context, the objectives of this study were to evaluate the proteomic profiling of cerebrospinal fluid (CSF) before and after multiple intrathecal transplantations of MSCs in healthy horses and the CSF proteomic profiling of horses chronically affected by EPM. Twelve clinically healthy adult horses were randomly divided into three experimental groups: DPBS (DPBS or control; n = 4), in which intrathecal "transplants" with Dulbecco's phosphate buffered saline (DPB... (Complete abstract click electronic access below) / Doutor
|
34 |
High-Level Synthesis Framework for Crosstalk Minimization in VLSI ASICsSankaran, Hariharan 31 October 2008 (has links)
Capacitive crosstalk noise can affect the delay of a switching signal or induce a glitch on a static signal causing timing violations or chip failure. Crosstalk noise depends on coupling parasitics, driver strength, signal timing characteristics, and signal transition patterns. Layout level crosstalk analysis techniques are generally pessimistic and computationally expensive for large designs due to lack of design flexibility at lower-levels of design hierarchy. The architectural decisions such as type of interconnect architecture, number of storage and execution units, network of communicating units, data bus width, etc., have a major impact on the quality of design attributes such as area, speed, power, and noise. To address all these concerns, we propose a high-level synthesis framework to optimize for worst-case crosstalk patterns on coupled nets, a floorplan driven high-level synthesis framework to minimize coupling capacitance, and an on-chip technique to dynamically detect and eliminate worst-case crosstalk pattern on bus-based macro-cell designs.
Due to Miller coupling effect, the switching activity pattern on adjacent nets may increase the effective capacitance seen by a victim net and thereby it may cause a worst-case signal delay on the victim net. However, signal activity pattern on coupled nets are dependent on data correlations which in turn depend on resource sharing. The resource sharing in turn depends on scheduling, allocation, and binding during high-level synthesis flow.
Therefore, we propose a Simulated Annealing (SA) based design space exploration of HLS design subspace, bus line re-ordering, and encoding subspaces to optimize for worst-case crosstalk pattern in bus-based macro-cell designs. We demonstrate that the proposed framework will aid layout level techniques in eliminating false positive violations. We also propose an SA based algorithm to explore floorplan and HLS subspaces to optimize coupling capacitances in bus-based macro-cell designs. We have integrated an RTL floorplanner in HLS flow to estimate coupling capacitances between bus lines. Crosstalk analysis using Cadence Celtic shows that the designs generated by the proposed framework results in less number of crosstalk violations compared to designs generated through traditional ASIC design flow. We also propose an on-chip crosstalk detection and elimination technique that dynamically detects and eliminates worst-case crosstalk pattern with minimum area penalty compared to other layout level techniques reported in the literature.
|
35 |
CellMap: An Automated Multielectrode Array Cell Culture Analysis System Based on Electrochemical Impedance SpectroscopyAbdur Rahman, Abdur Rub 28 June 2007 (has links)
The objective of this research is to develop fundamental understanding of cell-substrate (CS) and cell-cell (CC) interactions in the culture space for time evolving cell cultures. Space resolved CC and CS interactions are important indicators of cell-density distribution, localized cellular behavior, and multiple cell-layers which are differentiators of normal and abnormal cell behavior. In this research, CS and CC interactions and the variations therein due to a) Cell growth, 2) cell-drug interaction, and 3) effect of Cytotoxin were studied using multielectrode, multi-frequency Electrochemical Impedance Spectroscopy (EIS). Contemporary impedance based methods sense either CC or CS interaction as a space averaged macroscopic quantity. A major contribution of this research is that, both CC and CS interactions are recorded and analyzed with spatio-temporal resolution. This research led to the development of an automated cell culture monitoring system, namely, CellMap.
A planar eight electrode sensor was fabricated on a glass substrate and interfaced with a switching circuit. The switching circuit sequentially selects consecutive electrodes upon input of a 5V trigger pulse which is generated by the frequency response analyzer at the end of each frequency scan, thereby facilitating automated switching and recording of multielectrode dataset. Calibration standards and protocols were developed to null the channel parasitics of individual channels. A set of eight impedance measurements for eight electrodes constitutes a "frame". Frames are recorded at regular time intervals over the desired course of time.
Impedance mapping of adhesion, spreading, motility and detachment of OvCa429 ovarian cancer cells was performed over a period of 70 hours. The cell-layer resistance, which indicates cell-cell contact, increased as a function of time until confluence, and decreased thereafter due to cell death and detachment. This was also confirmed by optical microscopy observations. Similarly, the cell layer Constant Phase Element (CPE) parameters, which were found to correlate well with cell density distribution, also increased as a function of time until confluence and decreased thereafter. Additionally, the cell-growth mapping revealed that the CellMap system is able to resolve non-uniform cell distributions in the culture space, which may be useful in differentiating between normal and pathological cells.
|
36 |
Implement Low Power IC Design with Statistical Static Timing Analysis in 90nm CMOS TechnologyOu, Yu-Hao 15 February 2011 (has links)
As the mobile electronic products development are more and more popular such as mobile phone, digital camera, PDA¡Ketc. Each of company releases variable kind of mobile products, and every portable machine has plenty of functions. A low power consumption design is a significant issue which academics and engineers concern. It would be a major progress if the approach which can drop off the power consumption successfully. The mobile electronic products have more application programs than before and the size of LCD increases continuously, so that the power consumption becomes large. Therefore, expanding the life of battery would be a significant issue. Besides, the process technology has improved day by day, and it would influence the supply voltage be declined. It represents the power management would influence the power consumption of circuit directly. Comparing to drop down the entire IC power consumption and not to influence the performance of IC, the thesis employs the algorithm that searches the Critical Path and embeds the Level Converter Logic into digital circuit. It can offer the proper supply voltage to circuits which do not want to bigger supply voltage for reduce power consumption.
However, the process variation (Inter-Die or Intra-Die) may transform the original Critical Path, the Critical Path which searches through the static timing analysis would not correct. To conquer this problem, the thesis provides the statistical approach to analysis timing. It would search Path Sensitivity which is exactly equal to the probability that a path is critical. Finally, the logic gate which is designed by us would replace the UMC 90nm standard cell through Cell-Based.
|
37 |
An Efficient Hybrid CMOS/PTL (Pass-Transistor-Logic) Synthesizer and Its Applications to the Design of Arithmetic Units and 3D Graphics ProcessorsTsai, Ming-Yu 20 October 2009 (has links)
The mainstream of current VLSI design and logic synthesis is based on traditional CMOS logic circuits. However, in the past two decades, various new logic circuit design styles based on pass-transistor logic (PTL) have been proposed. Compared with CMOS circuits, these PTL-based circuits are claimed to have better results in area, speed, and power in some particular applications, such as adder and multiplier designs. Since most current automatic logic synthesis tools (such as Synopsys Design Compiler) are based on conventional CMOS standard cell library, the corresponding logic minimization for CMOS logic cannot be directly employed to generate efficient PTL circuits. In this dissertation, we develop two novel PTL synthesizers that can efficiently generate PTL-based circuits. One is based on pure PTL cells; the other mixes CMOS and PTL cells in the standard cell library to achieve better performance in area, speed, and power. Since PTL-based circuits are constructed by only a few basic PTL cells, the layouts in PTL cells can be easily updated to design large SoC systems as the process technology migrates rapidly in current Nano technology era. The proposed PTL logic synthesis flows employ the popular Synopsys Design Compiler (DC) to perform logic translation and minimization based on the standard cell library composed of PTL and CMOS cells, thus, the PTL design flow can be easily embedded in the standard cell-based ASIC design flow. In this dissertation, we also discuss PTL-based designs of some fundamental hardware components. Furthermore, the proposed PTL cell library is used to synthesize large processor systems in applications of computer arithmetic and 3D graphics.
|
38 |
Mechanistic studies on the uptake and intracellular trafficking of DNA complexes in primary cells using lipid-modified cationic polymers as non-viral gene carrierHsu, Charlie Yu Ming Unknown Date
No description available.
|
39 |
Cell- and Cell-based Gene Therapy for Experimental Acute Lung Injury and SepsisMei, Shirley Hsin-Ju 20 January 2009 (has links)
The acute respiratory distress syndrome (ARDS) and its less severe form, acute lung injury (ALI), are among the leading causes of morbidity and mortality in critically ill patients. Commonly induced by conditions associated with severe pulmonary inflammation, ALI results in disruption of the lung alveolar-capillary membrane barrier and resultant pulmonary edema associated with a proteinaceous alveolar exudate. Sepsis is another frequent and often fatal clinical condition for patients in the intensive care unit. It is characterized by a combination of infection and systemic inflammatory response syndrome (SIRS).
Current effective treatment strategies for both ALI/ARDS and sepsis are lacking.
We first examined the potential therapeutic role of mesenchymal stromal cells (MSCs) alone or together with the vasculoprotective factor, angiopoietin-1 (ANGPT1), for treatment of experimental ALI in mice. MSCs significantly reduced LPS (lipopolysaccharide)-induced pulmonary inflammation, as reflected by cell counts in bronchoalveolar lavage (BAL) fluid and pro-inflammatory cytokine levels in both BAL fluid and lung parenchymal homogenates. More importantly, administration of MSCs transfected with human ANGPT1 plasmid (MSCs-pANGPT1) completely reversed LPS-induced permeability in the lung (i.e., ALI). A follow-up study showed that MSCs remained effective in rescuing mice with LPS-induced ALI; however, the additional benefit from ANGPT1 was no longer observed. To further evaluate MSC-based therapy in a more clinically relevant model of acute injury, the cecal-ligation-and-puncture (CLP) model for sepsis was employed. Our results demonstrated that MSCs can reduce both systemic and pulmonary inflammation, as well as renal and liver dysfunction/injury, as reflected by plasma urea and bilirubin levels, in septic mice. Most notably, MSCs reduced sepsis-associated mortality from 45% to 24%.
Our data demonstrate the feasibility and effectiveness of MSC- and MSC-based gene therapy for experimental ALI and sepsis, and provide the basis for the development of an innovative approach for the prevention and treatment of clinical ALI/ARDS and sepsis.
|
40 |
Cell- and Cell-based Gene Therapy for Experimental Acute Lung Injury and SepsisMei, Shirley Hsin-Ju 20 January 2009 (has links)
The acute respiratory distress syndrome (ARDS) and its less severe form, acute lung injury (ALI), are among the leading causes of morbidity and mortality in critically ill patients. Commonly induced by conditions associated with severe pulmonary inflammation, ALI results in disruption of the lung alveolar-capillary membrane barrier and resultant pulmonary edema associated with a proteinaceous alveolar exudate. Sepsis is another frequent and often fatal clinical condition for patients in the intensive care unit. It is characterized by a combination of infection and systemic inflammatory response syndrome (SIRS).
Current effective treatment strategies for both ALI/ARDS and sepsis are lacking.
We first examined the potential therapeutic role of mesenchymal stromal cells (MSCs) alone or together with the vasculoprotective factor, angiopoietin-1 (ANGPT1), for treatment of experimental ALI in mice. MSCs significantly reduced LPS (lipopolysaccharide)-induced pulmonary inflammation, as reflected by cell counts in bronchoalveolar lavage (BAL) fluid and pro-inflammatory cytokine levels in both BAL fluid and lung parenchymal homogenates. More importantly, administration of MSCs transfected with human ANGPT1 plasmid (MSCs-pANGPT1) completely reversed LPS-induced permeability in the lung (i.e., ALI). A follow-up study showed that MSCs remained effective in rescuing mice with LPS-induced ALI; however, the additional benefit from ANGPT1 was no longer observed. To further evaluate MSC-based therapy in a more clinically relevant model of acute injury, the cecal-ligation-and-puncture (CLP) model for sepsis was employed. Our results demonstrated that MSCs can reduce both systemic and pulmonary inflammation, as well as renal and liver dysfunction/injury, as reflected by plasma urea and bilirubin levels, in septic mice. Most notably, MSCs reduced sepsis-associated mortality from 45% to 24%.
Our data demonstrate the feasibility and effectiveness of MSC- and MSC-based gene therapy for experimental ALI and sepsis, and provide the basis for the development of an innovative approach for the prevention and treatment of clinical ALI/ARDS and sepsis.
|
Page generated in 0.0332 seconds