• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 8
  • 5
  • 1
  • 1
  • 1
  • Tagged with
  • 16
  • 8
  • 6
  • 6
  • 5
  • 5
  • 5
  • 5
  • 5
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
11

LaAlO3 amorphe déposé par épitaxie par jets moléculaires sur silicium comme alternative pour la grille high-κ des transistors CMOS

Pelloquin, Sylvain 09 December 2011 (has links) (PDF)
Depuis l'invention du transistor MOS à effet de champ dans les années 60, l'exploitation de cette brique élémentaire a permis une évolution exponentielle du domaine de la microélectronique, avec une course effrénée vers la miniaturisation des dispositifs électroniques CMOS. Dans ce contexte, l'introduction des oxydes "high-κ" (notamment HfO2) a permis de franchir la barrière sub-nanométrique de l'EOT (Equivalent Oxide Thickness) pour l'oxyde de grille. Les travaux actuels concernent notamment la recherche de matériaux "high-κ" et de procédés qui permettraient d'avoir une interface abrupte, thermodynamiquement stable avec le silicium, pouvant conduire à des EOTs de l'ordre de 5Å. L'objectif de cette thèse, était d'explorer le potentiel de l'oxyde LaAlO3 amorphe déposé sur silicium par des techniques d'Épitaxie par Jets Moléculaires, en combinant des études sur les propriétés physico-chimiques et électriques de ce système. Le travail de thèse a d'abord consisté à définir des procédures d'élaboration sur Si de couches très minces (≈4nm), robustes et reproductibles, afin de fiabiliser les mesures électriques, puis à optimiser la qualité électrique des hétérostructures en ajustant les paramètres de dépôt à partir de corrélations entre résultats électriques et propriétés physico-chimiques (densité, stœchiométrie, environnement chimique...) et enfin à valider un procédé d'intégration du matériau dans la réalisation de MOSFET. La stabilité et la reproductibilité des mesures ont été atteintes grâce à une préparation de surface du substrat adaptée et grâce à l'introduction d'oxygène atomique pendant le dépôt de LaAlO3, permettant ainsi une homogénéisation des couches et une réduction des courants de fuite. Après optimisation des paramètres de dépôt, les meilleures structures présentent des EOTs de 8-9Å, une constante diélectrique de 16 et des courants de fuite de l'ordre de 10-2A/cm². Les caractérisations physico-chimiques fines des couches par XPS ont révélé des inhomogénéités de composition qui peuvent expliquer que le κ mesuré soit inférieur aux valeurs de LaAlO3 cristallin (20-25). Bien que les interfaces LAO/Si soient abruptes après le dépôt et que LaAlO3 soit thermodynamiquement stable vis-à-vis du silicium, le système LAO amorphe /Si s'est révélé instable pour des recuits post-dépôt effectués à des températures supérieures à 700°C. Un procédé de fabrication de MOSFETs aux dimensions relâchées a été défini pour tester les filières high-κ. Les premières étapes du procédé ont été validées pour LaAlO3.
12

Structural and Magnetic Properties of Epitaxial MnSi(111) Thin Films

Karhu, Eric 12 January 2012 (has links)
MnSi(111) films were grown on Si(111) substrates by solid phase epitaxy (SPE) and molecular beam epitaxy (MBE) to determine their magnetic structures. A lattice mismatch of -3.1% causes an in-plane tensile strain in the film, which is partially relaxed by misfit dislocations. A correlation between the thickness dependence of the Curie temperature (TC) and strain is hypothesized to be due to the presence of interstitial defects. The in-plane tensile strain leads to an increase in the unit cell volume that results in an increased TC as large as TC = 45 K compared to TC = 29.5 K for bulk MnSi crystals. The epitaxially induced tensile stress in the MnSi thin films creates an easy-plane uniaxial anisotropy. The magnetoelastic coefficient was obtained from superconducting quantum interference device (SQUID) magnetometry measurements combined with transmission electron microscopy (TEM) and x-ray diffraction (XRD) data. The experimental value agrees with the coefficient determined from density functional calculations, which supports the conclusion that the uniaxial anisotropy originates from the magnetoelastic coupling. Interfacial roughness obscured the magnetic structure of the SPE films, which motivated the search for a better method of film growth. MBE grown films displayed much lower interfacial roughness that enabled a determination of the magnetic structure using SQUID and polarized neutron reflectometry (PNR). Out-of-plane magnetic field measurements on MBE grown MnSi(111) thin films on Si(111) substrates show the formation of a helical conical phase with a wavelength of 2?/Q = 13.9 ± 0.1 nm. The presence of both left-handed and right-handed magnetic chiralities is found to be due to the existence of inversion domains that result from the non-centrosymmetric crystal structure of MnSi. The magnetic frustration created at the domain boundaries explains an observed glassy behaviour in the magnetic response of the films. PNR and SQUID measurements of MnSi thin films performed in an in-plane magnetic field show a complex magnetic behaviour. Experimental results combined with theoretical results obtained from a Dzyaloshinskii model with an added easy-plane uniaxial anisotropy reveals the existence of numerous magnetic modulated states that do not exist in bulk MnSi. It is demonstrated in this thesis that modulated chiral magnetic states can be investigated with epitaxially grown MnSi(111) thin films on insulating Si substrates, which offers opportunities to investigate spin-dependent transport in chiral magnetic heterostructures based on this system.
13

Epitaktisches Wachstum und Charakterisierung ultradünner Eisenoxidschichten auf Magnesiumoxid(001)

Zimmermann, Bernd Josef 17 September 2010 (has links)
Since many years, the importance of thin layers increases for lots of technical uses. Beginning in the field of microelectronics, the use of thin layers spread increasingly to other areas. Coatings for surface refining and optimisation of the mechanical properties for material engineering, customisation of the surface chemistry in catalysts, as well influencing of the transmission and reflection characteristics of surfaces in optics are only some examples of the high scientific and economic weight of the thin layer technology. Thin magnetic layers are the basis of many known storage media ranging from the tape recorder to the hard disk up to the credit card. Nowadays, these thin layers again gain interest in the research field of nanoelectronics as ultrathin layers. So-called spinvalve-read/write heads being already installed in actual hard disks use the Tunnel Magneto Resistance effect for a significant rise in memory density synonymous capacity. Such read/writeheads consist of a magnetic layersystem. This use of the magnetic as well as the electric characteristics of the electrons is called spintronics. The iron oxide magnetite exhibits a high iron portion, is strong antiferrimagnetic and has a high Curie-temperature. Since many years, it is used as a magnetic pigment on already mentioned magnetic tapes. Literature [1, 2, 3, 4] considers ultrathin epitaxial layers of magnetite on magnesium oxide for uses in the spintronics as a most promising candidate, because it inheres a complete spin polarisation at Fermi-level. Moreover, thin magnetite layers serve in the chemical industry as a catalyst in the Haber- Bosch-procedure and to the dehydration of ethylbenzene to styrene. Being already used and considered to be of ongoing interest, ultrathin magnetite layers offer a wide range of technological applications in many modern industrial and scientific fields. Because there is, nevertheless, a variety of other iron oxide (cf. chapter 4), it is a matter to determine the special growth conditions of magnetite. These ultrathin iron oxide layers were grown reactively on the (001)-surfaces of the magnesium oxide substrate by molecular beam epitaxy. Besides, the surface is examined by the diffraction of low-energy electrons concerning its crystalline structure. X-ray photo electron spectroscopy approaching the stochiometry completes these first characterisations. Other investigations are carried out at HASYLAB / DESY in Hamburg by X-ray reflectivity and X-ray diffraction. The exact thickness of the layers, its crystal properties in bulk, as well as the thickness of the crystalline portion of the layers can be determined among other features of the system. The evaluation of XRR-and XRD-investigations is done via simulations with in chapter 5 introduced software packages. The reader finds the theoretical backgrounds to the used techniques in chapter 3. The experimental setups in Osnabr¨uck and Hamburg as well as the backgrounds to the preparation are presented in chapter 5. Because the formation of the different iron oxides is described in literature [5, 6, 7, 8] as mostly depending on annealing temperatures, the experimental results in chapter 6 are graded accordingly. The dependence on temperature, layer thickness and annealing time should be examined for the iron oxides possible on this substrate. The aim of this work is the preparation of ultrathin epitaxial iron oxide layers with thicknesses up to few nanometers. The main goal is to find the growth parameters for ultrathin crystalline magnetite layers.
14

Structural and magnetic properties of ultrathin Fe3O4 films: cation- and lattice-site-selective studies by synchrotron radiation-based techniques

Pohlmann, Tobias 19 August 2021 (has links)
This work investigates the growth dynamic of the reactive molecular beam epitaxy of Fe3O4 films, and its impact on the cation distribution as well as on the magnetic and structural properties at the surface and the interfaces. In order to study the structure and composition of Fe3O4 films during growth, time-resolved high-energy x-ray diffraction (tr-HEXRD) and time-resolved hard x-ray photoelectron spectroscopy (tr-HAXPES) measurements are used to monitor the deposition process of Fe3O4 ultrathin films on SrTiO3(001), MgO(001) and NiO/MgO(001). For Fe3O4\SrTiO3(001) is found that the film first grows in a disordered island structure, between thicknesses of 1.5nm to 3nm in FeO islands and finally in the inverse spinel structure of Fe3O4, displaying (111) nanofacets on the surface. The films on MgO(001) and NiO/MgO(001) show a similar result, with the exception that the films are not disordered in the early growth stage, but form islands which immediately exhibit a crystalline FeO phase up to a thickness of 1nm. After that, the films grown in the inverse spinel structure on both MgO(001) and NiO/MgO(001). Additionally, the tr-HAXPES measurements of Fe3O4/SrTiO3(001) demonstrate that the FeO phase is only stable during the deposition process, but turns into a Fe3O4 phase when the deposition is interrupted. This suggests that this FeO layer is a strictly dynamic property of the growth process, and might not be retained in the as-grown films. In order to characterize the as-grown films, a technique is introduced to extract the cation depth distribution of Fe3O4 films from magnetooptical depth profiles obtained by fitting x-ray resonant magnetic reflectivity (XRMR) curves. To this end, x-ray absorption (XAS) and x-ray magnetic circular dichroism (XMCD) spectra are recorded as well as XRMR curves to obtain magnetooptical depth profiles. To attribute these magnetooptical depth profiles to the depth distribution of the cations, multiplet calculations are fitted to the XMCD data. From these calculations, the cation contributions at the three resonant energies of the XMCD spectrum can be evaluated. Recording XRMR curves at those energies allows to resolve the magnetooptical depth profiles of the three iron cation species in Fe3O4. This technique is used to resolve the cation stoichiometry at the surface of Fe3O4/MgO(001) films and at the interfaces of Fe3O4/MgO(001) and Fe3O4/NiO. The first unit cell of the Fe3O4(001) surface shows an excess of Fe3+ cations, likely related to a subsurface cation-vacancy reconstruction of the Fe3O4(001) surface, but the magnetic order of the different cation species appears to be not disturbed in this reconstructed layer. Beyond this layer, the magnetic order of all three iron cation species in Fe3O4/MgO(001) is stable for the entire film with no interlayer or magnetic dead layer at the interface. For Fe3O4/NiO films, we unexpectedly observe a magnetooptical absorption at the Ni L3 edge in the NiO film corresponding to a ferromagnetic order throughout the entire NiO film, which is antiferromagnetic in the bulk. Additionally, the magnetooptical profiles indicate a single intermixed layer containing both Fe2+ and Ni2+ cations.
15

Evaluation of novel metalorganic precursors for atomic layer deposition of Nickel-based thin films / Evaluierung neuartiger metallorganischen Präkursoren für Atomlagenabscheidung von Nickel-basierten Dünnschichten

Sharma, Varun 04 June 2015 (has links) (PDF)
Nickel und Nickel (II) -oxid werden in großem Umfang in fortgeschrittenen elektronischen Geräten verwendet. In der Mikroelektronik-Industrie wird Nickel verwendet werden, um Nickelsilizid bilden. Die Nickelmono Silizid (NiSi) wurde als ausgezeichnetes Material für Source-Drain-Kontaktanwendungen unter 45 nm-CMOS-Technologie entwickelt. Im Vergleich zu anderen Siliziden für die Kontaktanwendungen verwendet wird NiSi wegen seines niedrigen spezifischen Widerstand, niedrigen Kontaktwiderstand, relativ niedrigen Bildungstemperatur und niedrigem Siliziumverbrauchs bevorzugt. Nickel in Nickelbasis-Akkus und ferromagnetischen Direktzugriffsspeicher (RAMs) verwendet. Nickel (II) oxid wird als Transistor-Gate-Oxid und Oxid in resistive RAM genutzt wird. Atomic Layer Deposition (ALD) ist eine spezielle Art der Chemical Vapor Deposition (CVD), das verwendet wird, um sehr glatte sowie homogene Dünnfilme mit hervorragenden Treue auch bei hohen Seitenverhältnissen abzuscheiden. Es basiert auf selbstabschließenden sequentielle Gas-Feststoff-Reaktionen, die eine präzise Steuerung der Filmdicke auf wenige Angström lassen sich auf der Basis. Zur Herstellung der heutigen 3D-elektronische Geräte, sind Technologien wie ALD erforderlich. Trotz der Vielzahl von praktischen Anwendungen von Nickel und Nickel (II) -oxid, sind einige Nickelvorstufen zur thermischen basierend ALD erhältlich. Darüber hinaus haben diese Vorstufen bei schlechten Filmeigenschaften führte und die Prozesseigenschaften wurden ebenfalls begrenzt. Daher in dieser Masterarbeit mussten die Eigenschaften verschiedener neuartiger Nickelvorstufen zu bewerten. Alle neuen Vorstufen heteroleptische (verschiedene Arten von Liganden) und Komplexe wurden vom Hersteller speziell zur thermischen basierend ALD aus reinem Nickel mit H 2 als ein Co-Reaktionsmittel gestaltet. Um die neuartige Vorläufer zu untersuchen, wurde eine neue Methode entwickelt, um kleine Mengen in einer sehr zeitsparend (bis zu 2 g) von Ausgangsstoffen zu testen. Diese Methodologie beinhaltet: TGA / DTA-Kurve analysiert der Vorstufen, thermische Stabilitätstests in dem die Vorläufer (<0,1 g) wurden bei erhöhter Temperatur in einer abgedichteten Umgebung für mehrere Stunden wurde die Abscheidung Experimenten und Film Charakterisierungen erhitzt. Die Abscheidungen wurden mit Hilfe der in situ Quarzmikrowaage überwacht, während die anwendungsbezogenen Filmeigenschaften, wie chemische Zusammensetzung, physikalische Phase, Dicke, Dichte, Härte und Schichtwiderstand wurden mit Hilfe von ex situ Messverfahren untersucht. Vor der Evaluierung neuartiger Nickelvorstufen ein Benchmark ALD-Prozess war vom Referenznickelvorläufer (Ni (AMD)) und Luft als Reaktionspartner entwickelt. Das Hauptziel der Entwicklung und Optimierung von solchen Benchmark-ALD-Prozess war es, Standard-Prozessparameter wie zweite Reaktionspartner Belichtungszeiten, Argonspülung Zeiten, gesamtprozessdruck, beginnend Abscheidungstemperatur und Gasströme zu extrahieren. Diese Standard-Prozessparameter mussten verwendet, um die Prozessentwicklung Aufgabe (das spart Vorläufer Verbrauch) zu verkürzen und die Sublimationstemperatur Optimierung für jede neuartige Vorstufe werden. Die ALD Verhalten wurde in Bezug auf die Wachstumsrate durch Variation des Nickelvorläuferbelichtungszeit, Vorläufer Temperatur und Niederschlagstemperatur überprüft. / Nickel and nickel(II) oxide are widely used in advanced electronic devices . In microelectronic industry, nickel is used to form nickel silicide. The nickel mono-silicide (NiSi) has emerged as an excellent material of choice for source-drain contact applications below 45 nm node CMOS technology. As compared to other silicides used for the contact applications, NiSi is preferred because of its low resistivity, low contact resistance, relatively low formation temperature and low silicon consumption. Nickel is used in nickel-based rechargeable batteries and ferromagnetic random access memories (RAMs). Nickel(II) oxide is utilized as transistor gate-oxide and oxide in resistive RAMs. Atomic Layer Deposition (ALD) is a special type of Chemical Vapor Deposition (CVD) technique, that is used to deposit very smooth as well as homogeneous thin films with excellent conformality even at high aspect ratios. It is based on self-terminating sequential gas-solid reactions that allow a precise control of film thickness down to few Angstroms. In order to fabricate todays 3D electronic devices, technologies like ALD are required. In spite of huge number of practical applications of nickel and nickel(II) oxide, a few nickel precursors are available for thermal based ALD. Moreover, these precursors have resulted in poor film qualities and the process properties were also limited. Therefore in this master thesis, the properties of various novel nickel precursors had to be evaluated. All novel precursors are heteroleptic (different types of ligands) complexes and were specially designed by the manufacturer for thermal based ALD of pure nickel with H 2 as a co-reactant. In order to evaluate the novel precursors, a new methodology was designed to test small amounts (down to 2 g) of precursors in a very time efficient way. This methodology includes: TGA/DTA curve analyses of the precursors, thermal stability tests in which the precursors (< 0.1 g) were heated at elevated temperatures in a sealed environment for several hours, deposition experiments, and film characterizations. The depositions were monitored with the help of in situ quartz crystal microbalance, while application related film properties like chemical composition, physical phase, thickness, density, roughness and sheet resistance were investigated with the help of ex situ measurement techniques. Prior to the evaluation of novel nickel precursors, a benchmark ALD process was developed from the reference nickel precursor (Ni(amd)) and air as a co-reactant. The main goal of developing and optimizing such benchmark ALD process was to extract standard process parameters like second-reactant exposure times, Argon purge times, total process pressure, starting deposition temperature and gas flows. These standard process parameters had to be utilized to shorten the process development task (thus saving precursor consumption) and optimize the sublimation temperature for each novel precursor. The ALD behaviour was checked in terms of growth rate by varying the nickel precursor exposure time, precursor temperature and deposition temperature.
16

Evaluation of novel metalorganic precursors for atomic layer deposition of Nickel-based thin films

Sharma, Varun 17 February 2015 (has links)
Nickel und Nickel (II) -oxid werden in großem Umfang in fortgeschrittenen elektronischen Geräten verwendet. In der Mikroelektronik-Industrie wird Nickel verwendet werden, um Nickelsilizid bilden. Die Nickelmono Silizid (NiSi) wurde als ausgezeichnetes Material für Source-Drain-Kontaktanwendungen unter 45 nm-CMOS-Technologie entwickelt. Im Vergleich zu anderen Siliziden für die Kontaktanwendungen verwendet wird NiSi wegen seines niedrigen spezifischen Widerstand, niedrigen Kontaktwiderstand, relativ niedrigen Bildungstemperatur und niedrigem Siliziumverbrauchs bevorzugt. Nickel in Nickelbasis-Akkus und ferromagnetischen Direktzugriffsspeicher (RAMs) verwendet. Nickel (II) oxid wird als Transistor-Gate-Oxid und Oxid in resistive RAM genutzt wird. Atomic Layer Deposition (ALD) ist eine spezielle Art der Chemical Vapor Deposition (CVD), das verwendet wird, um sehr glatte sowie homogene Dünnfilme mit hervorragenden Treue auch bei hohen Seitenverhältnissen abzuscheiden. Es basiert auf selbstabschließenden sequentielle Gas-Feststoff-Reaktionen, die eine präzise Steuerung der Filmdicke auf wenige Angström lassen sich auf der Basis. Zur Herstellung der heutigen 3D-elektronische Geräte, sind Technologien wie ALD erforderlich. Trotz der Vielzahl von praktischen Anwendungen von Nickel und Nickel (II) -oxid, sind einige Nickelvorstufen zur thermischen basierend ALD erhältlich. Darüber hinaus haben diese Vorstufen bei schlechten Filmeigenschaften führte und die Prozesseigenschaften wurden ebenfalls begrenzt. Daher in dieser Masterarbeit mussten die Eigenschaften verschiedener neuartiger Nickelvorstufen zu bewerten. Alle neuen Vorstufen heteroleptische (verschiedene Arten von Liganden) und Komplexe wurden vom Hersteller speziell zur thermischen basierend ALD aus reinem Nickel mit H 2 als ein Co-Reaktionsmittel gestaltet. Um die neuartige Vorläufer zu untersuchen, wurde eine neue Methode entwickelt, um kleine Mengen in einer sehr zeitsparend (bis zu 2 g) von Ausgangsstoffen zu testen. Diese Methodologie beinhaltet: TGA / DTA-Kurve analysiert der Vorstufen, thermische Stabilitätstests in dem die Vorläufer (<0,1 g) wurden bei erhöhter Temperatur in einer abgedichteten Umgebung für mehrere Stunden wurde die Abscheidung Experimenten und Film Charakterisierungen erhitzt. Die Abscheidungen wurden mit Hilfe der in situ Quarzmikrowaage überwacht, während die anwendungsbezogenen Filmeigenschaften, wie chemische Zusammensetzung, physikalische Phase, Dicke, Dichte, Härte und Schichtwiderstand wurden mit Hilfe von ex situ Messverfahren untersucht. Vor der Evaluierung neuartiger Nickelvorstufen ein Benchmark ALD-Prozess war vom Referenznickelvorläufer (Ni (AMD)) und Luft als Reaktionspartner entwickelt. Das Hauptziel der Entwicklung und Optimierung von solchen Benchmark-ALD-Prozess war es, Standard-Prozessparameter wie zweite Reaktionspartner Belichtungszeiten, Argonspülung Zeiten, gesamtprozessdruck, beginnend Abscheidungstemperatur und Gasströme zu extrahieren. Diese Standard-Prozessparameter mussten verwendet, um die Prozessentwicklung Aufgabe (das spart Vorläufer Verbrauch) zu verkürzen und die Sublimationstemperatur Optimierung für jede neuartige Vorstufe werden. Die ALD Verhalten wurde in Bezug auf die Wachstumsrate durch Variation des Nickelvorläuferbelichtungszeit, Vorläufer Temperatur und Niederschlagstemperatur überprüft.:Lists of Abbreviations and Symbols VIII Lists of Figures and Tables XIV 1 Introduction 1 I Theoretical Part 3 2 Nickel and Nickel Oxides 4 2.1 Introduction and Existence 5 2.2 Material properties of Nickel and Nickel Oxide 5 2.3 Application in electronic industry 5 3 Atomic Layer Deposition 7 3.1 History 8 3.2 Definition 8 3.3 Features of thermal-ALD 8 3.3.1 ALD growth mechanism – an ideal view 8 3.3.2 ALD growth behaviour 10 3.3.3 Growth mode 11 3.3.4 ALD temperature window 11 3.4 Benefits and limitations 12 3.5 Precursor properties for thermal-ALD 13 3.6 ALD & CVD of Nickel – A literature survey 13 4 Metrology 17 4.1 Thermal analysis of precursors 18 4.2 Film and growth characterization 21 4.2.1 Quartz Crystal Microbalance 21 4.2.2 Spectroscopic Ellipsometry 24 4.2.3 X-Ray Photoelectron Spectroscopy 28 4.2.4 Scanning Electron Microscopy 29 4.2.5 X-Ray Reflectometry and X-Ray Diffraction 29 4.2.6 Four Point Probe Technique 20 5 Rapid Thermal Processing 32 5.1 Introduction 33 5.2 Basics of RTP 33 5.3 Nickel Silicides-A literature survey 33 II Experimental Part 36 6 Methodologies 37 6.1 Experimental setup 38 6.2 ALD process 41 6.2.1 ALD process types and substrate setups 41 6.2.2 Process parameters 41 6.3 Experimental procedure 42 6.3.1 Tool preparation 42 6.3.2 Thermal analysis and ALD experiments from nickel precursors 43 6.3.3 Data acquisition and evaluation 44 6.3.4 Characterization of film properties 46 7 Results and discussion 48 7.1 Introduction 49 7.2 QCM verification with Aluminum Oxide ALD process 49 7.3 ALD process from the reference precursor 50 7.3.1 Introduction 50 7.3.2 TG analysis for Ni(amd) precursor 51 7.3.3 Thermal stability test for Ni(amd) 51 7.3.4 ALD process optimization 52 7.3.5 Film properties 54 7.4 Evaluating the novel Nickel precursors 55 7.4.1 Screening tests for precursor P1 55 7.4.2 Screening tests for precursor P2 62 7.4.3 Screening tests for precursor P3 66 7.4.4 Screening tests for precursor P4 70 7.4.5 Screening tests for precursor P5 72 7.5 Comparison of all nickel precursors used in this work 74 8 Conclusions and outlook 77 References 83 III Appendix 101 A Deposition temperature control & Ellipsometry model 102 B Gas flow plan 105 / Nickel and nickel(II) oxide are widely used in advanced electronic devices . In microelectronic industry, nickel is used to form nickel silicide. The nickel mono-silicide (NiSi) has emerged as an excellent material of choice for source-drain contact applications below 45 nm node CMOS technology. As compared to other silicides used for the contact applications, NiSi is preferred because of its low resistivity, low contact resistance, relatively low formation temperature and low silicon consumption. Nickel is used in nickel-based rechargeable batteries and ferromagnetic random access memories (RAMs). Nickel(II) oxide is utilized as transistor gate-oxide and oxide in resistive RAMs. Atomic Layer Deposition (ALD) is a special type of Chemical Vapor Deposition (CVD) technique, that is used to deposit very smooth as well as homogeneous thin films with excellent conformality even at high aspect ratios. It is based on self-terminating sequential gas-solid reactions that allow a precise control of film thickness down to few Angstroms. In order to fabricate todays 3D electronic devices, technologies like ALD are required. In spite of huge number of practical applications of nickel and nickel(II) oxide, a few nickel precursors are available for thermal based ALD. Moreover, these precursors have resulted in poor film qualities and the process properties were also limited. Therefore in this master thesis, the properties of various novel nickel precursors had to be evaluated. All novel precursors are heteroleptic (different types of ligands) complexes and were specially designed by the manufacturer for thermal based ALD of pure nickel with H 2 as a co-reactant. In order to evaluate the novel precursors, a new methodology was designed to test small amounts (down to 2 g) of precursors in a very time efficient way. This methodology includes: TGA/DTA curve analyses of the precursors, thermal stability tests in which the precursors (< 0.1 g) were heated at elevated temperatures in a sealed environment for several hours, deposition experiments, and film characterizations. The depositions were monitored with the help of in situ quartz crystal microbalance, while application related film properties like chemical composition, physical phase, thickness, density, roughness and sheet resistance were investigated with the help of ex situ measurement techniques. Prior to the evaluation of novel nickel precursors, a benchmark ALD process was developed from the reference nickel precursor (Ni(amd)) and air as a co-reactant. The main goal of developing and optimizing such benchmark ALD process was to extract standard process parameters like second-reactant exposure times, Argon purge times, total process pressure, starting deposition temperature and gas flows. These standard process parameters had to be utilized to shorten the process development task (thus saving precursor consumption) and optimize the sublimation temperature for each novel precursor. The ALD behaviour was checked in terms of growth rate by varying the nickel precursor exposure time, precursor temperature and deposition temperature.:Lists of Abbreviations and Symbols VIII Lists of Figures and Tables XIV 1 Introduction 1 I Theoretical Part 3 2 Nickel and Nickel Oxides 4 2.1 Introduction and Existence 5 2.2 Material properties of Nickel and Nickel Oxide 5 2.3 Application in electronic industry 5 3 Atomic Layer Deposition 7 3.1 History 8 3.2 Definition 8 3.3 Features of thermal-ALD 8 3.3.1 ALD growth mechanism – an ideal view 8 3.3.2 ALD growth behaviour 10 3.3.3 Growth mode 11 3.3.4 ALD temperature window 11 3.4 Benefits and limitations 12 3.5 Precursor properties for thermal-ALD 13 3.6 ALD & CVD of Nickel – A literature survey 13 4 Metrology 17 4.1 Thermal analysis of precursors 18 4.2 Film and growth characterization 21 4.2.1 Quartz Crystal Microbalance 21 4.2.2 Spectroscopic Ellipsometry 24 4.2.3 X-Ray Photoelectron Spectroscopy 28 4.2.4 Scanning Electron Microscopy 29 4.2.5 X-Ray Reflectometry and X-Ray Diffraction 29 4.2.6 Four Point Probe Technique 20 5 Rapid Thermal Processing 32 5.1 Introduction 33 5.2 Basics of RTP 33 5.3 Nickel Silicides-A literature survey 33 II Experimental Part 36 6 Methodologies 37 6.1 Experimental setup 38 6.2 ALD process 41 6.2.1 ALD process types and substrate setups 41 6.2.2 Process parameters 41 6.3 Experimental procedure 42 6.3.1 Tool preparation 42 6.3.2 Thermal analysis and ALD experiments from nickel precursors 43 6.3.3 Data acquisition and evaluation 44 6.3.4 Characterization of film properties 46 7 Results and discussion 48 7.1 Introduction 49 7.2 QCM verification with Aluminum Oxide ALD process 49 7.3 ALD process from the reference precursor 50 7.3.1 Introduction 50 7.3.2 TG analysis for Ni(amd) precursor 51 7.3.3 Thermal stability test for Ni(amd) 51 7.3.4 ALD process optimization 52 7.3.5 Film properties 54 7.4 Evaluating the novel Nickel precursors 55 7.4.1 Screening tests for precursor P1 55 7.4.2 Screening tests for precursor P2 62 7.4.3 Screening tests for precursor P3 66 7.4.4 Screening tests for precursor P4 70 7.4.5 Screening tests for precursor P5 72 7.5 Comparison of all nickel precursors used in this work 74 8 Conclusions and outlook 77 References 83 III Appendix 101 A Deposition temperature control & Ellipsometry model 102 B Gas flow plan 105

Page generated in 0.0386 seconds