• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 108
  • 27
  • 10
  • 9
  • 8
  • 4
  • 3
  • 3
  • 2
  • 2
  • 1
  • Tagged with
  • 191
  • 81
  • 78
  • 76
  • 56
  • 53
  • 48
  • 39
  • 30
  • 30
  • 30
  • 30
  • 30
  • 29
  • 28
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
171

Mikrostruktury mimikující povrch tlapky gekona / Gecko mimicking surfaces

Fecko, Peter January 2019 (has links)
Adhezní schopnosti gekona byly předmětem mnoha studií a inspirací pro vytvoření mnoha napodobenin. Tato práce navrhuje vlastní verzi umělých gekoních struktur ve tvaru mikroskopických pilířů, které by vykazovaly adhezní vlastnosti srovnatelné s tlapkou gekona. Vyrobeny byli struktury z polymeru Parylen C pomocí fotolitografie a technik na leptání křemíku. Dalším cílem bylo různými metodami pro modifikaci povrchu a charakterizaci vytvořených struktur, které určí adhezní síly těchto povrchů, před a po modifikacích.
172

Atomistische Modellierung und Simulation des Filmwachstums bei Gasphasenabscheidungen

Lorenz, Erik E. 27 November 2014 (has links)
Gasphasenabscheidungen werden zur Produktion dünner Schichten in der Mikro- und Nanoelektronik benutzt, um eine präzise Kontrolle der Schichtdicke im Sub-Nanometer-Bereich zu erreichen. Elektronische Eigenschaften der Schichten werden dabei von strukturellen Eigenschaften determiniert, deren Bestimmung mit hohem experimentellem Aufwand verbunden ist. Die vorliegende Arbeit erweitert ein hochparalleles Modell zur atomistischen Simulation des Wachstums und der Struktur von Dünnschichten, welches Molekulardynamik (MD) und Kinetic Monte Carlo-Methoden (KMC) kombiniert, um die Beschreibung beliebiger Gasphasenabscheidungen. KMC-Methoden erlauben dabei die effiziente Betrachtung der Größenordnung ganzer Nano-Bauelemente, während MD für atomistische Genauigkeit sorgt. Erste Ergebnisse zeigen, dass das Parsivald genannte Modell Abscheidungen in Simulationsräumen mit einer Breite von 0.1 µm x 0.1 µm effizient berechnet, aber auch bis zu 1 µm x 1 µm große Räume mit 1 Milliarden Atomen beschreiben kann. Somit lassen sich innerhalb weniger Tage Schichtabscheidungen mit einer Dicke von 100 Å simulieren. Die kristallinen und amorphen Schichten zeigen glatte Oberflächen, wobei auch mehrlagige Systeme auf die jeweilige Lagenrauheit untersucht werden. Die Struktur der Schicht wird hauptsächlich durch die verwendeten molekulardynamischen Kraftfelder bestimmt, wie Untersuchungen der physikalischen Gasphasenabscheidung von Gold, Kupfer, Silizium und einem Kupfer-Nickel-Multilagensystem zeigen. Stark strukturierte Substrate führen hingegen zu Artefakten in Form von Nanoporen und Hohlräumen aufgrund der verwendeten KMC-Methode. Zur Simulation von chemischen Gasphasenabscheidungen werden die Precursor-Reaktionen von Silan mit Sauerstoff sowie die Hydroxylierung von alpha-Al2O3 mit Wasser mit reaktiven Kraftfeldern (ReaxFF) berechnet, allerdings ist weitere Arbeit notwendig, um komplette Abscheidungen auf diese Weise zu simulieren. Mit Parsivald wird somit die Erweiterung einer Software präsentiert, die Gasphasenabscheidungen auf großen Substraten effizient simulieren kann, dabei aber auf passende molekulardynamische Kraftfelder angewiesen ist.:Inhaltsverzeichnis Abbildungsverzeichnis Tabellenverzeichnis Abkürzungsverzeichnis Symbolverzeichnis 1 Einleitung 2 Grundlagen 2.1 Gasphasenabscheidungen 2.1.1 Physikalische Gasphasenabscheidung 2.1.2 Chemische Gasphasenabscheidung 2.1.3 Atomlagenabscheidung 2.1.4 Methoden zur Simulation von Gasphasenabscheidungen 2.2 Molekulardynamik 2.2.1 Formulierung der Molekulardynamik 2.2.2 Auswahl verfügbarer Molekulardynamik-Software 2.2.3 Molekulardynamische Kraftfelder 2.3 Kinetic Monte Carlo-Methoden 2.4 Datenstrukturen 2.4.1 Numerische Voraussetzungen an Gasphasenabscheidungen 2.4.2 Vergleich der Laufzeiten für verschiedene Datenstrukturen 2.4.3 Effiziente Datenstrukturen 2.4.4 Alpha-Form 3 Methoden und Modelle 3.1 Stand der Forschung 3.1.1 Anwendungen von KMC-Simulationen für die Gasphasenabscheidung 3.1.2 Anwendung von MD-Simulationen für die Gasphasenabscheidung 3.2 Parsivald-Modell 3.2.1 Zielsetzung für Parsivald 3.2.2 Beschreibung des Parsivald-Modells 3.2.3 Annahmen und Einschränkungen 3.2.4 Erweiterungen im Rahmen der Masterarbeit 3.2.5 Behandlung von fehlerhaften Ereignissen 3.3 Laufzeitanalyse von Parsivald-Simulationen 3.3.1 Ereignis-Laufzeit TE 3.3.2 Ereignis-Durchsatz RE 3.3.3 MD-Laufzeit TMD 3.3.4 Worker-Laufzeit Tworker 3.3.5 Serielle Laufzeit T1 3.3.6 Anzahl der parallelen Prozesse p 3.3.7 Workerdichte rhoworker 3.3.8 Parallele Laufzeit Tp 3.3.9 Speedup Sp 3.3.10 Parallele Effizienz Ep 3.3.11 Auswertung der Laufzeitparameter 3.3.12 Fazit 3.4 MD-Simulationen: Methoden und Auswertungen 3.4.1 Zeitskalen in MD-Simulationen 3.4.2 Relaxierungen 3.4.3 Strukturanalysen 3.4.4 Bestimmung der Dichte und Temperatur 3.4.5 Radiale Verteilungsfunktionen, Bindungslänge und Koordinationszahl 3.4.6 Oberfläche, Schichtdicke, Rauheit und Porösität 3.4.7 Reaktionen und Stabilität von Molekülen 4 Simulationen von Gasphasenabscheidungen 4.1 Gold-PVD 4.1.1 Voruntersuchungen 4.1.2 Thermodynamische Eigenschaften 4.1.3 Simulation von Gold-PVD 4.1.4 Skalierbarkeit mit der Simulationsgröße 4.1.5 Fazit 4.2 Kupfer-PVD 4.2.1 Voruntersuchungen 4.2.2 Thermodynamische Eigenschaften 4.2.3 Simulation von Kupfer-PVD 4.2.4 Untersuchung der maximalen Workerdichte 4.2.5 Fazit 4.3 Multilagen-PVD 4.3.1 Multilagen-Simulationen mit Parsivald 4.3.2 Vergleich mit Ergebnissen reiner MD-Simulationen 4.3.3 Vergleich der Parallelisierbarkeit 4.3.4 Fazit 4.4 Silizium-PVD 4.4.1 Voruntersuchungen 4.4.2 Simulationen von Silizium-PVD 4.4.3 Fazit 4.5 Aluminiumoxid-ALD 4.5.1 ReaxFF-Parametersätze 4.5.2 Voruntersuchungen 4.5.3 Fazit 5 Zusammenfassung und Ausblick 5.1 Zusammenfassung 5.2 Ausblick A Physikalische Konstanten und Stoffeigenschaften B Datenstrukturen B.1 Übersicht über KMC-Operationen B.2 Beschreibung grundlegender Datenstrukturen B.3 Delaunay-Triangulationen B.3.1 Ausgewählte Eigenschaften einer Delaunay-Triangulation B.3.2 Algorithmen zur Konstruktion einer Delaunay-Triangulation C Ergänzungen zur Laufzeitanalyse von Parsivald C.1 Einfluss der Ereignis-Laufzeit auf die effiziente Raumgröße weff C.2 Zusätzliche Einflüsse auf das Maximum der Prozesse pmax C.3 Abschätzung der maximalen Workerdichte per Random Sequential Adsorption D Ergänzungen zur Simulation von Gold-PVD E Multilagen-PVD E.1 Porenbildung bei Unterrelaxation E.2 Simulationen mit Lagendicken von jeweils 5 nm F Simulation der CVD-Precursormoleküle Silan und Sauerstoff F.1 Stabilität der Precursormoleküle F.2 Reaktion der Precursormoleküle Literaturverzeichnis
173

Vapour Pressure Studies Of Precursors And Atomic Layer Deposition Of Titanium Oxides

Kunte, Girish V 09 1900 (has links)
This thesis describes the deposition of thin films of titanium oxide and Magnéli phases of titanium oxide by atomic layer deposition (ALD) using a novel β-ketoesterate precursor. Titanium oxide is a promising candidate for the high-k dielectric gate oxide layer for CMOS devices in microelectronic circuits. The Magnéli phases of titanium oxide are difficult to grow and stabilize, especially in the thin film form, and have useful properties. The thin film deposition of oxides by CVD/ALD requires suitable precursors, which are often metalorganic complexes. The estimation of vapour pressure using thermogravimetry is described, and employed, using an approach based on the Langmuir equation. This data is important for the evaluation of the suitability of these complexes as CVD precursors. The first chapter gives a brief introduction to the topics that will be discussed in this thesis. Part one of the thesis deals with the synthesis, characterization, and studies of the vapour pressure and partial pressures of the precursors for CVD. This part comprises of the second, third and fourth chapter. The second chapter deals with the synthesis and characterization of the various metalorganic complexes that have been synthesized and characterized to evaluate their suitability as precursors for CVD. The third chapter describes the derivation of vapour pressure of precursors for CVD and ALD, from rising temperature thermogravimetric analysis (TGA) data, using the Langmuir equation. The fourth chapter deals with the determination of partial pressure of CVD precursors using data from low-pressure thermogravimetry. Part Two of the thesis reports the deposition of titanium oxide thin films by ALD, and the detailed investigation of their properties, for application as high-k dielectric materials. Chapters five, six and seven constitute this part. The fifth chapter deals with the deposition of titanium oxide thin films by ALD. Chapter six describes the electrical characterization of the thin films of titanium oxide, for applications as high-k dielectric gate oxide layers for CMOS circuits. In the seventh chapter, the deposition of Magnéli phases of titanium by ALD is described. The dielectric properties of the films are studied.
174

Detailed Study of Copper Oxide ALD on SiO2, TaN, and Ru

Waechtler, Thomas, Schulze, Steffen, Hofmann, Lutz, Hermann, Sascha, Roth, Nina, Schulz, Stefan E., Gessner, Thomas, Lang, Heinrich, Hietschold, Michael 10 August 2009 (has links) (PDF)
Copper films with a thickness in the nanometer range are required as seed layers for the electrochemical Cu deposition to form multilevel interconnects in ultralarge-scale integrated (ULSI) electronic devices. Continuously shrinking device dimensions and increasing aspect ratios of the dual-damascene structures in the copper-based metallization schemes put ever more stringent requirements on the films with respect to their conformality in nanostructures and thickness homogeneity across large wafers. Due to its intrinsic self-limiting film growth characteristic, atomic layer deposition (ALD) appears appropriate for homogeneously coating complex substrates and to replace conventional physical vapor deposition (PVD) methods beyond the 32 nm technology node. To overcome issues of direct Cu ALD, such as film agglomeration at higher temperatures or reduced step coverage in plasma-based processes, an ALD copper oxide film may be grown under mild processing conditions, while a subsequent reduction step converts it to metallic copper. In this poster, which was presented at the AVS 9th International Conference on Atomic Layer Deposition (ALD 2009), held in Monterey, California from 19 to 22 July 2009, we report detailed film growth studies of ALD copper oxide in the self-limiting regime on SiO2, TaN and Ru. Applications in subsequent electrochemical deposition processes are discussed, comparing Cu plating results on as-deposited PVD Ru as well as with PVD and reduced ALD Cu seed layer.
175

Thin Films of Copper Oxide and Copper Grown by Atomic Layer Deposition for Applications in Metallization Systems of Microelectronic Devices

Wächtler, Thomas 02 June 2010 (has links) (PDF)
Copper-based multi-level metallization systems in today’s ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(tri-n-butylphosphane)copper(I)acetylacetonate [(nBu3P)2Cu(acac)]. This liquid, non-fluorinated β-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160°C. Typical ALD-like growth behavior arises between 100 and 130°C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and self-saturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent electrochemical copper deposition, the combination of ALD copper and ruthenium proves advantageous, especially with respect to the quality of the electroplated films and their filling behavior in interconnect structures. Furthermore, the ALD process developed also bears potential for an integration with carbon nanotubes. / Kupferbasierte Mehrlagenmetallisierungssysteme in heutigen hochintegrierten elektronischen Schaltkreisen erfordern die Herstellung von Diffusionsbarrieren und leitfähigen Keimschichten für die galvanische Metallabscheidung. Diese Schichten von nur wenigen Nanometern Dicke müssen konform und fehlerfrei in strukturierten Dielektrika abgeschieden werden. Die sich abzeichnende weitere Verkleinerung der geometrischen Dimensionen des Leitbahnsystems erfordert Beschichtungstechnologien, die vorhandene Nachteile der bisher etablierten Physikalischen Dampfphasenabscheidung beheben. Die Methode der Atomlagenabscheidung (ALD) ermöglicht es, Schichten im Nanometerbereich sowohl auf dreidimensional strukturierten Objekten als auch auf großflächigen Substraten gleichmäßig herzustellen. Die vorliegende Arbeit befasst sich daher mit der Entwicklung eines ALD-Prozesses zur Abscheidung von Kupferoxidschichten, ausgehend von der metallorganischen Vorstufe Bis(tri-n-butylphosphan)kupfer(I)acetylacetonat [(nBu3P)2Cu(acac)]. Dieses flüssige, nichtfluorierte β-Diketonat wird bei Temperaturen zwischen 100 und 160°C mit einer Mischung aus Wasserdampf und Sauerstoff zur Reaktion gebracht. ALD-typisches Schichtwachstum stellt sich in Abhängigkeit des gewählten Substrats zwischen 100 und 130°C ein. Auf Tantalnitrid- und Siliziumdioxidsubstraten werden dabei sehr glatte Schichten bei gesättigtem Wachstumsverhalten erhalten. Auch auf Rutheniumsubstraten werden gute Abscheideergebnisse erzielt, jedoch kommt es hier zu einer merklichen Durchmischung des ALD-Kupferoxids mit dem Untergrund. Tantalsubstrate führen zu einer schnellen Selbstzersetzung des Kupferprecursors, in dessen Folge neben geschlossenen Schichten während der ALD auch immer isolierte Keime oder größere Partikel erhalten werden. Die mittels ALD gewachsenen Kupferoxidschichten können in Gasphasenprozessen zu Kupfer reduziert werden. Wird Ameisensäure als Reduktionsmittel genutzt, können diese Prozesse bereits bei ähnlichen Temperaturen wie die ALD durchgeführt werden, so dass Agglomeration der Schichten weitgehend verhindert wird. Als besonders vorteilhaft für die Ameisensäure-Reduktion erweisen sich Rutheniumsubstrate. Auch für eine Integration mit nachfolgenden Galvanikprozessen zur Abscheidung von Kupfer zeigen sich Vorteile der Kombination ALD-Kupfer/Ruthenium, insbesondere hinsichtlich der Qualität der erhaltenen galvanischen Schichten und deren Füllverhalten in Leitbahnstrukturen. Der entwickelte ALD-Prozess besitzt darüber hinaus Potential zur Integration mit Kohlenstoffnanoröhren.
176

Detection of annual rings in wood

Jonsson, Christian January 2008 (has links)
This report describes an annual line detection algorithm for the WoodEye quality control system. The goal with the algorithm is to find the positions of annual lines on the four surfaces of a board. The purpose is to use this result to find the inner annual ring structure of the board. The work was done using image processing techniques to analyze images collected with WoodEye. The report gives the reader an insight in the requirements of quality control systems in the woodworking industry and the benefits of automated quality control versus manual inspection. The appearance and formation of annual lines are explained on a detailed level to provide insight on how the problem should be approached. A comparison between annual rings and fingerprints are made to see if ideas from this area of pattern recognition can be adapted to annual line detection. This comparison together with a study of existing methods led to the implementation of a fingerprint enhancement method. This method became a central part of the annual line detection algorithm. The annual line detection algorithm consists of two main steps; enhancing the edges of the annual rings, and tracking along the edges to form lines. Different solutions for components of the algorithm were tested to compare performance. The final algorithm was tested with different input images to find if the annual line detection algorithm works best with images from a grayscale or an RGB camera.
177

Detailed Study of Copper Oxide ALD on SiO2, TaN, and Ru

Waechtler, Thomas, Schulze, Steffen, Hofmann, Lutz, Hermann, Sascha, Roth, Nina, Schulz, Stefan E., Gessner, Thomas, Lang, Heinrich, Hietschold, Michael 10 August 2009 (has links)
Copper films with a thickness in the nanometer range are required as seed layers for the electrochemical Cu deposition to form multilevel interconnects in ultralarge-scale integrated (ULSI) electronic devices. Continuously shrinking device dimensions and increasing aspect ratios of the dual-damascene structures in the copper-based metallization schemes put ever more stringent requirements on the films with respect to their conformality in nanostructures and thickness homogeneity across large wafers. Due to its intrinsic self-limiting film growth characteristic, atomic layer deposition (ALD) appears appropriate for homogeneously coating complex substrates and to replace conventional physical vapor deposition (PVD) methods beyond the 32 nm technology node. To overcome issues of direct Cu ALD, such as film agglomeration at higher temperatures or reduced step coverage in plasma-based processes, an ALD copper oxide film may be grown under mild processing conditions, while a subsequent reduction step converts it to metallic copper. In this poster, which was presented at the AVS 9th International Conference on Atomic Layer Deposition (ALD 2009), held in Monterey, California from 19 to 22 July 2009, we report detailed film growth studies of ALD copper oxide in the self-limiting regime on SiO2, TaN and Ru. Applications in subsequent electrochemical deposition processes are discussed, comparing Cu plating results on as-deposited PVD Ru as well as with PVD and reduced ALD Cu seed layer.
178

Copper Oxide ALD from a Cu(I) <beta>-Diketonate: Detailed Growth Studies on SiO2 and TaN

Waechtler, Thomas, Roth, Nina, Mothes, Robert, Schulze, Steffen, Schulz, Stefan E., Gessner, Thomas, Lang, Heinrich, Hietschold, Michael 03 November 2009 (has links)
The atomic layer deposition (ALD) of copper oxide films from [(<sup>n</sup>Bu<sub>3</sub>P)<sub>2</sub>Cu(acac)] and wet oxygen on SiO<sub>2</sub> and TaN has been studied in detail by spectroscopic ellipsometry and atomic force microscopy. The results suggest island growth on SiO<sub>2</sub>, along with a strong variation of the optical properties of the films in the early stages of the growth and signs of quantum confinement, typical for nanocrystals. In addition, differences both in growth behavior and film properties appear on dry and wet thermal SiO<sub>2</sub>. Electron diffraction together with transmission electron microscopy shows that nanocrystalline Cu<sub>2</sub>O with crystallites < 5 nm is formed, while upon prolonged electron irradiation the films decompose and metallic copper crystallites of approximately 10 nm precipitate. On TaN, the films grow in a linear, layer-by-layer manner, reproducing the initial substrate roughness. Saturated growth obtained at 120&deg;C on TaN as well as dry and wet SiO<sub>2</sub> indicates well-established ALD growth regimes. <br> &copy; 2009 The Electrochemical Society. All rights reserved.
179

Thin Films of Copper Oxide and Copper Grown by Atomic Layer Deposition for Applications in Metallization Systems of Microelectronic Devices

Wächtler, Thomas 25 May 2010 (has links)
Copper-based multi-level metallization systems in today’s ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(tri-n-butylphosphane)copper(I)acetylacetonate [(nBu3P)2Cu(acac)]. This liquid, non-fluorinated β-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160°C. Typical ALD-like growth behavior arises between 100 and 130°C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and self-saturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent electrochemical copper deposition, the combination of ALD copper and ruthenium proves advantageous, especially with respect to the quality of the electroplated films and their filling behavior in interconnect structures. Furthermore, the ALD process developed also bears potential for an integration with carbon nanotubes. / Kupferbasierte Mehrlagenmetallisierungssysteme in heutigen hochintegrierten elektronischen Schaltkreisen erfordern die Herstellung von Diffusionsbarrieren und leitfähigen Keimschichten für die galvanische Metallabscheidung. Diese Schichten von nur wenigen Nanometern Dicke müssen konform und fehlerfrei in strukturierten Dielektrika abgeschieden werden. Die sich abzeichnende weitere Verkleinerung der geometrischen Dimensionen des Leitbahnsystems erfordert Beschichtungstechnologien, die vorhandene Nachteile der bisher etablierten Physikalischen Dampfphasenabscheidung beheben. Die Methode der Atomlagenabscheidung (ALD) ermöglicht es, Schichten im Nanometerbereich sowohl auf dreidimensional strukturierten Objekten als auch auf großflächigen Substraten gleichmäßig herzustellen. Die vorliegende Arbeit befasst sich daher mit der Entwicklung eines ALD-Prozesses zur Abscheidung von Kupferoxidschichten, ausgehend von der metallorganischen Vorstufe Bis(tri-n-butylphosphan)kupfer(I)acetylacetonat [(nBu3P)2Cu(acac)]. Dieses flüssige, nichtfluorierte β-Diketonat wird bei Temperaturen zwischen 100 und 160°C mit einer Mischung aus Wasserdampf und Sauerstoff zur Reaktion gebracht. ALD-typisches Schichtwachstum stellt sich in Abhängigkeit des gewählten Substrats zwischen 100 und 130°C ein. Auf Tantalnitrid- und Siliziumdioxidsubstraten werden dabei sehr glatte Schichten bei gesättigtem Wachstumsverhalten erhalten. Auch auf Rutheniumsubstraten werden gute Abscheideergebnisse erzielt, jedoch kommt es hier zu einer merklichen Durchmischung des ALD-Kupferoxids mit dem Untergrund. Tantalsubstrate führen zu einer schnellen Selbstzersetzung des Kupferprecursors, in dessen Folge neben geschlossenen Schichten während der ALD auch immer isolierte Keime oder größere Partikel erhalten werden. Die mittels ALD gewachsenen Kupferoxidschichten können in Gasphasenprozessen zu Kupfer reduziert werden. Wird Ameisensäure als Reduktionsmittel genutzt, können diese Prozesse bereits bei ähnlichen Temperaturen wie die ALD durchgeführt werden, so dass Agglomeration der Schichten weitgehend verhindert wird. Als besonders vorteilhaft für die Ameisensäure-Reduktion erweisen sich Rutheniumsubstrate. Auch für eine Integration mit nachfolgenden Galvanikprozessen zur Abscheidung von Kupfer zeigen sich Vorteile der Kombination ALD-Kupfer/Ruthenium, insbesondere hinsichtlich der Qualität der erhaltenen galvanischen Schichten und deren Füllverhalten in Leitbahnstrukturen. Der entwickelte ALD-Prozess besitzt darüber hinaus Potential zur Integration mit Kohlenstoffnanoröhren.
180

ALD of Copper and Copper Oxide Thin Films For Applications in Metallization Systems of ULSI Devices

Waechtler, Thomas, Oswald, Steffen, Roth, Nina, Lang, Heinrich, Schulz, Stefan E., Gessner, Thomas 15 July 2008 (has links)
As a possible alternative for growing seed layers required for electrochemical Cu deposition of metallization systems in ULSI circuits, the atomic layer deposition (ALD) of Cu is under consideration. To avoid drawbacks related to plasma-enhanced ALD (PEALD), thermal growth of Cu has been proposed by two-step processes forming copper oxide films by ALD which are subsequently reduced. This talk, given at the 8th International Conference on Atomic Layer Deposition (ALD 2008), held in Bruges, Belgium from 29 June to 2 July 2008, summarizes the results of thermal ALD experiments from [(<sup><i>n</i></sup>Bu<sub>3</sub>P)<sub>2</sub>Cu(acac)] precursor and wet O<sub>2</sub>. The precursor is of particular interest as it is a liquid at room temperature and thus easier to handle than frequently utilized solids such as Cu(acac)<sub>2</sub>, Cu(hfac)<sub>2</sub> or Cu(thd)<sub>2</sub>. Furthermore the substance is non-fluorinated, which helps avoiding a major source of adhesion issues repeatedly observed in Cu CVD. As result of the ALD experiments, we obtained composites of metallic and oxidized Cu on Ta and TaN, which was determined by angle-resolved XPS analyses. While smooth, adherent films were grown on TaN in an ALD window up to about 130°C, cluster-formation due to self-decomposition of the precursor was observed on Ta. We also recognized a considerable dependency of the growth on the degree of nitridation of the TaN. In contrast, smooth films could be grown up to 130°C on SiO<sub>2</sub>and Ru, although in the latter case the ALD window only extends to about 120°C. To apply the ALD films as seed layers in subsequent electroplating processes, several reduction processes are under investigation. Thermal and plasma-assisted hydrogen treatments are studied, as well as thermal treatments in vapors of isopropanol, formic acid, and aldehydes. So far these attempts were most promising using formic acid at temperatures between 100 and 120°C, also offering the benefit of avoiding agglomeration of the very thin ALD films on Ta and TaN. In this respect, the process sequence shows potential for depositing ultra-thin, smooth Cu films at temperatures below 150°C.

Page generated in 0.0625 seconds