• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 108
  • 27
  • 10
  • 9
  • 8
  • 4
  • 3
  • 3
  • 2
  • 2
  • 1
  • Tagged with
  • 191
  • 81
  • 78
  • 76
  • 56
  • 53
  • 48
  • 39
  • 30
  • 30
  • 30
  • 30
  • 30
  • 29
  • 28
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
161

Copper oxide atomic layer deposition on thermally pretreated multi-walled carbon nanotubes for interconnect applications

Melzer, Marcel, Waechtler, Thomas, Müller, Steve, Fiedler, Holger, Hermann, Sascha, Rodriguez, Raul D., Villabona, Alexander, Sendzik, Andrea, Mothes, Robert, Schulz, Stefan E., Zahn, Dietrich R.T., Hietschold, Michael, Lang, Heinrich, Gessner, Thomas 22 May 2013 (has links) (PDF)
The following is the accepted manuscript of the original article: Marcel Melzer, Thomas Waechtler, Steve Müller, Holger Fiedler, Sascha Hermann, Raul D. Rodriguez, Alexander Villabona, Andrea Sendzik, Robert Mothes, Stefan E. Schulz, Dietrich R.T. Zahn, Michael Hietschold, Heinrich Lang and Thomas Gessner “Copper oxide atomic layer deposition on thermally pretreated multi-walled carbon nanotubes for interconnect applications”, Microelectron. Eng. 107, 223-228 (2013). Digital Object Identifier: 10.1016/j.mee.2012.10.026 Available via http://www.sciencedirect.com or http://dx.doi.org/10.1016/j.mee.2012.10.026 © 2013 Elsevier B.V. Carbon nanotubes (CNTs) are a highly promising material for future interconnects. It is expected that a decoration of the CNTs with Cu particles or also the filling of the interspaces between the CNTs with Cu can enhance the performance of CNT-based interconnects. The current work is therefore considered with thermal atomic layer deposition (ALD) of CuxO from the liquid Cu(I) β-diketonate precursor [(nBu3P)2Cu(acac)] and wet oxygen at 135°C. This paper focuses on different thermal in-situ pre-treatments of the CNTs with O2, H2O and wet O2 at temperatures up to 300°C prior to the ALD process. Analyses by transmission electron microscopy show that in most cases the CuxO forms particles on the multi-walled CNTs (MWCNTs). This behavior can be explained by the low affinity of Cu to form carbides. Nevertheless, also the formation of areas with rather layer-like growth was observed in case of an oxidation with wet O2 at 300°C. This growth mode indicates the partial destruction of the MWCNT surface. However, the damages introduced into the MWCNTs during the pre treatment are too low to be detected by Raman spectroscopy.
162

ALD of Copper and Copper Oxide Thin Films For Applications in Metallization Systems of ULSI Devices

Waechtler, Thomas, Oswald, Steffen, Roth, Nina, Lang, Heinrich, Schulz, Stefan E., Gessner, Thomas 15 July 2008 (has links) (PDF)
<p> As a possible alternative for growing seed layers required for electrochemical Cu deposition of metallization systems in ULSI circuits, the atomic layer deposition (ALD) of Cu is under consideration. To avoid drawbacks related to plasma-enhanced ALD (PEALD), thermal growth of Cu has been proposed by two-step processes forming copper oxide films by ALD which are subsequently reduced. </p> <p> This talk, given at the 8th International Conference on Atomic Layer Deposition (ALD 2008), held in Bruges, Belgium from 29 June to 2 July 2008, summarizes the results of thermal ALD experiments from [(<sup><i>n</i></sup>Bu<sub>3</sub>P)<sub>2</sub>Cu(acac)] precursor and wet O<sub>2</sub>. The precursor is of particular interest as it is a liquid at room temperature and thus easier to handle than frequently utilized solids such as Cu(acac)<sub>2</sub>, Cu(hfac)<sub>2</sub> or Cu(thd)<sub>2</sub>. Furthermore the substance is non-fluorinated, which helps avoiding a major source of adhesion issues repeatedly observed in Cu CVD. </p> <p> As result of the ALD experiments, we obtained composites of metallic and oxidized Cu on Ta and TaN, which was determined by angle-resolved XPS analyses. While smooth, adherent films were grown on TaN in an ALD window up to about 130°C, cluster-formation due to self-decomposition of the precursor was observed on Ta. We also recognized a considerable dependency of the growth on the degree of nitridation of the TaN. In contrast, smooth films could be grown up to 130°C on SiO<sub>2</sub> and Ru, although in the latter case the ALD window only extends to about 120°C. To apply the ALD films as seed layers in subsequent electroplating processes, several reduction processes are under investigation. Thermal and plasma-assisted hydrogen treatments are studied, as well as thermal treatments in vapors of isopropanol, formic acid, and aldehydes. So far these attempts were most promising using formic acid at temperatures between 100 and 120°C, also offering the benefit of avoiding agglomeration of the very thin ALD films on Ta and TaN. In this respect, the process sequence shows potential for depositing ultra-thin, smooth Cu films at temperatures below 150°C. </p>
163

Copper Oxide ALD from a Cu(I) <beta>-Diketonate: Detailed Growth Studies on SiO2 and TaN

Waechtler, Thomas, Roth, Nina, Mothes, Robert, Schulze, Steffen, Schulz, Stefan E., Gessner, Thomas, Lang, Heinrich, Hietschold, Michael 03 November 2009 (has links) (PDF)
The atomic layer deposition (ALD) of copper oxide films from [(<sup>n</sup>Bu<sub>3</sub>P)<sub>2</sub>Cu(acac)] and wet oxygen on SiO<sub>2</sub> and TaN has been studied in detail by spectroscopic ellipsometry and atomic force microscopy. The results suggest island growth on SiO<sub>2</sub>, along with a strong variation of the optical properties of the films in the early stages of the growth and signs of quantum confinement, typical for nanocrystals. In addition, differences both in growth behavior and film properties appear on dry and wet thermal SiO<sub>2</sub>. Electron diffraction together with transmission electron microscopy shows that nanocrystalline Cu<sub>2</sub>O with crystallites < 5 nm is formed, while upon prolonged electron irradiation the films decompose and metallic copper crystallites of approximately 10 nm precipitate. On TaN, the films grow in a linear, layer-by-layer manner, reproducing the initial substrate roughness. Saturated growth obtained at 120&deg;C on TaN as well as dry and wet SiO<sub>2</sub> indicates well-established ALD growth regimes. <br> &copy; 2009 The Electrochemical Society. All rights reserved.
164

Surface chemistry of a Cu(I) beta-diketonate precursor and the atomic layer deposition of Cu2O on SiO2 studied by x-ray photoelectron spectroscopy

Dhakal, Dileep, Waechtler, Thomas, E. Schulz, Stefan, Gessner, Thomas, Lang, Heinrich, Mothes, Robert, Tuchscherer, Andre 07 July 2014 (has links) (PDF)
This article has been published online on 21st May 2014, in Journal of Vacuum Science & Technology A: Vac (Vol.32, Issue 4): http://scitation.aip.org/content/avs/journal/jvsta/32/4/10.1116/1.4878815?aemail=author DOI: 10.1116/1.4878815 This article may be accessed via the issue's table of contents at this link: http://scitation.aip.org/content/avs/journal/jvsta/32/4?aemail=author The surface chemistry of the bis(tri-n-butylphosphane) copper(I) acetylacetonate, [(nBu3P)2Cu(acac)], and the thermal atomic layer deposition (ALD) of Cu2O using this Cu precursor as reactant and wet oxygen as co-reactant on SiO2 substrates are studied by in-situ X-ray photoelectron spectroscopy (XPS). The Cu precursor was evaporated and exposed to the substrates kept at temperatures between 22 °C and 300 °C. The measured phosphorus and carbon concentration on the substrates indicated that most of the [nBu3P] ligands were released either in the gas phase or during adsorption. No disproportionation was observed for the Cu precursor in the temperature range between 22 °C and 145 °C. However, disproportionation of the Cu precursor was observed at 200 °C, since C/Cu concentration ratio decreased and substantial amounts of metallic Cu were present on the substrate. The amount of metallic Cu increased, when the substrate was kept at 300 °C, indicating stronger disproportionation of the Cu precursor. Hence, the upper limit for the ALD of Cu2O from this precursor lies in the temperature range between 145 °C and 200 °C, as the precursor must not alter its chemical and physical state after chemisorption on the substrate. 500 ALD cycles with the probed Cu precursor and wet O2 as co reactant were carried out on SiO2 at 145 °C. After ALD, in situ XPS analysis confirmed the presence of Cu2O on the substrate. Ex-situ spectroscopic ellipsometry indicated an average film thickness of 2.5 nm of Cu2O deposited with a growth per cycle of 0.05 Å/cycle. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) investigations depicted a homogeneous, fine, and granular morphology of the Cu2O ALD film on SiO2. AFM investigations suggest that the deposited Cu2O film is continuous on the SiO2 substrate.
165

Studies On CVD And ALD Of Thin Films Of Substituted And Composite Metal Oxides, Including Potential High-k Dielectrics

Gairola, Anshita 09 1900 (has links) (PDF)
The work carried out as a part of this thesis has been focussed on understanding different aspects of the chemical vapor deposition process namely, ALD / MOCVD. A large part of the thesis is aimed at solving the problem of a single-source precursor for the MOCVD process to obtain substituted metal oxide thin films. For a chemical vapor deposition technique, it is important to understand the requisite salient features of precursor for deposition of thin films. For this purpose, not only is the structural characterization of the chemical precursor is required but also an in-depth thermal analysis of the precursor to know its vapor pressure. Vapor pressure of a metalorganic complex is one of the important properties to evaluate the applicability of a metalorganic complex as a MOCV/ALD precursor. The thesis discusses a novel approach to use thermal analysis as a tool to gauge the viability of substituted metal “single source” precursor for MOCVD/ALD. The other half deals with material characterization of thin films grown by an ALD process using hydrogen and Ti(OiPr)2(tbob)2 as precursors. The films were further studied for their potential application as high-k dielectric in DRAM applications. The first chapter is an overview of topics that are relevant to the work carried out in this thesis. The chapter focuses on the description of techniques used for thin film deposition. A detailed review of CVD-type techniques (ALD/ MOCVD) is then given. Chapter1 reviews the various process parameters involved in ALD,i.e. film growth(specifically as a function of the reactant pulse length, the nature of the chemical reactant/precursor and that of the metal precursor, and purge length) and growth temperature. Following the discussion of ALD, CVD and its growth kinetics are also discussed. Chapter 1 then outlines a holistic understanding of precursors, followed the differences in requirement for using them in ALD and MOCVD. Further, an introduction to the titanium oxide (Stoichiometric titanium dioxide and various Magneli phases) system, its phase diagram, oxide properties and their applications is given. Chapter 1 concludes by delineating the scope of the work carried out which is presented in the thesis. The second chapter deals with the synthesis of a series of substituted metal “single source” precursors to be used for MOCVD of substituted metal oxides thin films. The precursor complexes were of the type AlxCr1-x (acac)3 where 0<x<1. The complexes were synthesized using the novel approach of co-synthesis and were characterized by various spectroscopic techniques. Single crystal X-ray diffraction at low temperature was carried out to understand the substitution of metal in the complex crystallographically. The substituted metal complexes synthesized and characterized in chapter 2 were further evaluated for their viability as single source precursors for MOCVD application, using thermo-gravimetry as discussed in chapter 3. Vapor pressure of these complexes was determined by using the Langmuir equation, while the enthalpies of submission and evaporation were calculated using the Clausius-Clapeyron equation. One of the composition of the series of substituted metal complexes, viz., Al0.9Cr0.1(acac)3, was employed on MOCVD reactor as precursor to obtain thin films on three substrates, Si(100), fused silica, and polycrystalline x- alumina, simultaneously. The resultant thin films were characterized using XRD, electron microscopy, FTIR, EDS, X-ray mapping, and UV-vis spectroscopy. Chapter 4 deals with the growth of titanium oxide thin films using ALD. The metal precursor used was Ti(OiPr)2(tbob)2 and the reactant gas was hydrogen. Hydrogen, a reducing gas, was deliberately used to obtain the reduced defect oxide phases of titanium, commonly called Magneli phases. The growth rate of films grown on p-Si(100) was studied with respect to the substrate temperature, vaporizer temperature, pulse duration of metal precursor and pulse duration of the reactive gas. Also, the concept of complementarity of a reaction and self-limiting behavior in a true ALD process was illustrated. The deposition conditions such as substrate temperature and reactive gas flows have been varied to optimize the phase content and the morphology of the films. The films grown were characterized to determine the various phases of titanium oxide present using XRD, TEM, FTIR spectroscopy, Raman spectroscopy, and UV-vis spectroscopy. The presence of carbon was revealed by Raman spectroscopy. By using these characterization techniques, it was concluded that the film grown is a composite made of stiochiometric TiOx matrix embedded with crystallites of (reduced) Magneli phases. Chapter 5 deals with the electrical properties of the composite thin films grown in chapter 4. the films behave as percolative capacitor which could be used for application as novel high-k dielectric material for DRAM. The effect of change in flow rates of reactive gas (H2) on the dielectric constant (k) and leakage current of the film were studied. It was found that phase composition of the film plays an important role in tuning the dielectric properties of the film was also studied. The effect of thickness of the film also studied on the dielectric properties of the film. The trend observed was correlated to the morphology of the film as a function of its thickness and the grain growth mechanism as observed from high resolution scanning electron microscopy. Further, the effect of change in substrate temperature, metal precursor pulse length, and of the metal used as top electrode, on C-V and I-V characteristics were studied. It was interesting to see that the presence of the more conductingTi5O9 (than Ti3O5) enhances the dielectric constant, which is a requisite for a high-k material for DRAM application. On the other hand, the presence of Ti5O9 also increased the leakage current in the film, which was not desirable. It therefore suggested itself that an optimum embedment of Ti5O9 in the composite helps in enhancing the dielectric constant, while maintaining a low leakage current. Under optimum conditions, a dielectric constant of 210 at 1MHz was measured with a leakage current of 17 nA. The effect of the presence of carbon in the film was studied using Raman Spectroscopy, and it was found that a high leakage was associated with films having greater carbon content. In this chapter, electrical properties of composite thin films were also compared with those of stoichiometric titanium dioxide (a known dielectric). Further, a multilayer sandwich structure was proposed, such that it had a 53 mm thick stoichiometric TiO2 layer followed by 336nm thick composite film and again a 53nm thick stoichiometric titanium dioxide layer. The dielectric characteristics of this structure were found to be better than those of either of the other two.viz., stoichiometric titanium dioxide film or the composite thin film of titanium oxide.
166

Theoretical studies of molecule-substrate interaction at complex gold and silicon oxide surfaces using surface and cluster models

Ting, Chao-Ming 11 January 2021 (has links)
The formation and patterns of a monolayer are determined by the interplay of two fundamental interactions, adsorbate-substrate and intermolecular interactions. The binding strength between adsorbate and substrate affects the mobility of the adsorbate at the surface and the stability of the complex. The intermolecular interaction plays a significant role in the monolayer patterns on the epitaxial layer of the substrate. A monolayer can be formed either by a spontaneous self-assembly, or by fabrication via atomic-layer deposition (ALD). The physical and chemical properties of the resulting monolayer have a broad array of applications in fabricating functional materials for hydrophobic or hydrophilic surfaces, biological sensors, alternating the properties of the substrate, catalysis and forming ordered layered structures. In this dissertation, the investigation focuses primarily on the influence of the surface topology on the binding behaviour of adsorbate-surface complexes. The state of the art DFT-TS method is used to simulate the sulfur-containing amino acids at complex gold surfaces and examine the relationship between the binding strengths and the binding sites with various nearest neighbouring environments. The same method is also used to determine if a chemical reaction will take place for various catalytic silicon precursors at a silicon oxide surface. Simulating surface chemistry using the DFT-TS method requires intensive com- puting resources, including CPU use and computing time. Another focus of this dissertation is to increase the data generating speed by reducing the size of the sim- ulated systems without altering the outcome. A relatively small gold cluster is used to study the binding behaviours of small organic molecules on the cluster. The same strategy is also used to simulate the chemical reactions between various self-catalying silicon precursors and a water molecule. / Graduate / 2021-10-21
167

Spectroscopic ellipsometry for the in-situ investigation of atomic layer depositions

Sharma, Varun 15 May 2014 (has links)
Aim of this student research project was to develop an Aluminium Oxide (Al2O3 ) ALD process from trimethylaluminum (TMA) and Ozone in comparison of two shower head designs. Then studying the detailed characteristics of Al2O3 ALD process using various measurement techniques such as Spectroscopic Ellipsometry (SE), x-ray photoelectron spectroscopy (XPS), atomic force microscopy (AFM). The real-time ALD growth was studied by in-situ SE. In-situ SE is very promising technique that allows the time-continuous as well as time-discrete measurement of the actual growth over an ALD process time. The following ALD process parameters were varied and their inter-dependencies were studied in detail: exposure times of precursor and co-reactant as well as Argon purge times, the deposition temperature, total process pressure, flow dynamics of two different shower head designs. The effect of varying these ALD process parameters was studied by looking upon ALD cycle attributes. Various ALD cycle attributes are: TMA molecule adsorption (Mads ), Ligand removal (Lrem ), growth kinetics (KO3 ) and growth per cycle (GPC).:List of abbreviations and Symbols ........................XII Lists of Figures and Tables ...................................XVIII 1 Introduction .......................................................1 I Theoretical Part ..................................................3 2 Alumina in electronic industry ............................5 3 Atomic Layer Deposition ....................................7 3.1 History . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 3.2 Process definition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 3.3 Benefits and limitations . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 8 3.4 ALD growth mechanism of Aluminium oxide from TMA/O 3 . . . . . . . . 9 3.5 Growth kinetics . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12 3.6 Comparison of TMA/O3 and TMA/H2O – A literature survey . . . . 14 4 Spectroscopic Ellipsometry .....................................................17 4.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 4.2 Measuring Principle . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 18 4.3 Fitting and models . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 20 4.4 Advantages and limitations . . . . . . . . . . . . . . . . . . . . . . . . . . . 22 5 X-Ray Photoelectron Spectroscopy ..............................................25 5.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26 5.2 XPS mechanism . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26 5.3 XPS analysis . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 26 5.4 Advantages and limitations . . . . . . . . . . . . . . . . . . . . . . . . . . . 27 6 Atomic Force Microscopy .............................................................29 II Experimental Part ......................................................................31 7 Methodologies ............................................................................33 7 .1 Experimental setup . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 34 7 .2 ALD process . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 7 .3 Experiment design . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 36 7 .4 Spectroscopic Ellipsometry . . . . . . . . . . . . . . . . . . . . . . . . . . . 38 7 .4.1 Tool and software . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38 7 .4.2 Data acquisition . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 38 7 .4.3 Data evaluation . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 40 7 .4.4 Post processing of data . . . . . . . . . . . . . . . . . . . . . . . . . 41 7 .4.5 Sources of errors in SE . . . . . . . . . . . . . . . . . . . . . . . . . 43 8 Results and discussion ..........................................................47 8.1 Introduction . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 48 8.2 Kinetic ALD characteristic curves . . . . . . . . . . . . . . . . . . . . . . . . 48 8.2.1 TMA exposure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 49 8.2.2 Argon purging after TMA exposure . . . . . . . . . . . . . . . . . . . 50 8.2.3 Ozone exposure . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 51 8.2.4 Argon purging after ozone exposure . . . . . . . . . . . . . . . . . . 52 8.3 Impact of process parameters on characteristic ALD growth attributes and film properties . . . . . . . . . .. . . . . . . . . . . . . . . . 53 8.3.1 Total process pressure . . . . . . . . . . . . . . . . . . . . . . . . . . 53 8.3.2 Ozone flow . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 54 8.3.3 Deposition temperature . . . . . . . . . . . . . . . . . . . . . . . . . 56 8.4 Reproducibility . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61 9 Conclusions and outlook .......................................................63 References ...............................................................................68 III Appendix .............................................................................77 A Reference temperatures and ozone flow.............................. 79 B Process parameters ..............................................................81
168

Understanding Drop-on-Demand Inkjet Process Characteristics in the Application of Printing Micro Solid Oxide Fuel Cells

Hill, Theresa Y. 29 August 2019 (has links)
No description available.
169

In-situ XPS Investigation of ALD Cu2O and Cu Thin Films after Successive Reduction

Dhakal, Dileep, Waechtler, Thomas, E. Schulz, Stefan, Mothes, Robert, Moeckel, Stefan, Lang, Heinrich, Gessner, Thomas 07 July 2014 (has links)
This talk was presented in the 14th International Conference on Atomic Layer Deposition (ALD 2014) in Kyoto, Japan on 18th June 2014. Abstract Atomic Layer Deposition (ALD) is emerging as a ubiquitous method for the deposition of conformal and homogeneous ultra-thin films on complex topographies and large substrates in microelectronics. Electrochemical deposition (ECD) is the first choice for the deposition of copper (Cu) into the trenches and vias of the interconnect system for ULSI circuits. The ECD of Cu necessitates an electrically conductive seed layer for filling the interconnect structures. ALD is now considered as a solution for conformal deposition of Cu seed layers on very high aspect ratio (AR) structures also for technology nodes below 20 nm, since physical vapor deposition is not applicable for structures with high AR. Cu seed layer deposition by the reduction of Cu2O, which has been deposited from the Cu(I) β-diketonate [(nBu3P)2Cu(acac)] (1) used as Cu precursor, has been successfully carried out on different substrates like Ta, TaN, SiO2, and Ru [1, 2]. It was found that the subsequent gas-phase reduction of the Cu2O films can be aided by introducing catalytic amounts of a Ru precursor into the Cu precursor, so that metallic copper films could potentially obtained also on non-catalytic substrates [3, 4]. In this work, in situ X-ray photoelectron spectroscopy (XPS) investigation of the surface chemistry during Cu2O ALD from the mixture of 99 mol % of 1 and 1 mol % of [Ru(η5 C5H4SiMe3)(η5-C7H11)] (2) as ruthenium precursor, and the reduction of Cu2O to metallic Cu by formic acid carried out on SiO2 substrate are demonstrated. Oxidation states of the Cu in the film are identified by comparing the Cu Auger parameter (α) [5] with literature data. α calculated after ALD equals 362.2 eV and after reduction equals 363.8 eV, comparable to the Cu2O and metallic Cu in thin-films [6] respectively. In addition, <10 % of Cu(I), Cu(II), and Cu(OH)2 species are identified from the Cu 2p3/2 and Cu L3VV Auger spectrum after reduction. Consequently, the ALD Cu2O is successfully reduced to metallic copper by in-situ thermal reduction using formic acid. [1] T. Waechtler et al., J. Electrochem. Soc., 156 (6), H453 (2009). [2] T. Waechtler et al., Microelectron. Eng., 88, 684 (2011). [3] S. Mueller et al., Conference Proceedings SCD 2011, Semiconductor Conference Dresden, pp. 1-4. [4] T. Waechtler et al., US Patent Application Publication, US 2013/0062768. [5] C. D. Wagner, Faraday Discuss. Chem. Soc., 60, 291 (1975). [6] J. P. Espinós et al., J. Phys. Chem. B, 106, 6921 (2002).
170

Nonlinear Electromagnetic Radiation from Metal-Insulator-Metal Tunnel Junctions

Hussain, Mallik Mohd Raihan 24 May 2017 (has links)
No description available.

Page generated in 0.0706 seconds