• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 108
  • 27
  • 10
  • 9
  • 8
  • 4
  • 3
  • 3
  • 2
  • 2
  • 1
  • Tagged with
  • 191
  • 81
  • 78
  • 76
  • 56
  • 53
  • 48
  • 39
  • 30
  • 30
  • 30
  • 30
  • 30
  • 29
  • 28
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
141

In-situ XPS Investigation of the Surface Chemistry of a Cu(I) Beta-Diketonate Precursor and the ALD of Cu2O

Dhakal, Dileep, Waechtler, Thomas, E. Schulz, Stefan, Mothes, Robert, Lang, Heinrich, Gessner, Thomas 07 July 2014 (has links)
This poster was presented in the Materials for Advanced Metallization (MAM) 2014 Conference in Chemnitz, Germany. Abstract: Atomic Layer Deposition (ALD) has emerged as an ubiquitous method for the deposition of conformal and homogeneous ultra-thin films on complex topographies and large substrates in microelectronics. Electrochemical deposition (ECD) is the first choice for the deposition of copper (Cu) into the trenches and vias of the interconnect system for ULSI circuits. The ECD of Cu necessitates an electrically conductive seed layer for filling the interconnect structures. ALD is now considered as a solution for conformal deposition of Cu seed layers on very high aspect ratio (AR) structures also for technology nodes below 20 nm, since physical vapor deposition is not applicable for structures with high AR. Cu seed layer deposition by the reduction of Cu2O, which has been deposited from the Cu(I) β-diketonate precursor [(nBu3P)2Cu(acac)], has been successfully carried out on different substrates like Ta, TaN, SiO2, and Ru [1, 2]. However, still many questions are unanswered regarding the underlying surface chemistry of the precursor on many substrates, leading to different growth modes during ALD. In this work, the surface chemistry of [(nBu3P)2Cu(acac)] on SiO2 substrate is investigated by in-situ X-ray photoelectron spectroscopy (XPS), reporting vital information about the oxidation state and the atomic concentration after chemisorption on the substrates kept at different temperatures. The aim of the investigation is to understand the stepwise change in the precursor oxidation state with increasing substrate temperature and to identify the temperature limit for the thermal ALD with this Cu precursor on SiO2. For the experiments, the Cu precursor was evaporated on SiO2 substrates kept at temperatures between 22 °C and 300 °C. The measured C/Cu and P/Cu concentration indicated that most of the nBu3P ligands were released either in the gas phase or during adsorption (Fig. 1a). No disproportionation was observed for the Cu precursor in the temperature range between 22 °C and 145 °C. Similarly, in this temperature range the Auger parameter calculated from Cu 2p3/2 and Cu L3VV spectra was found to be 360.0±0.2 eV, comparable to Cu(I) oxidation state [3]. However, disproportionation of the Cu precursor was observed above 200 °C, since C/Cu concentration ratio decreased and substantial metallic Cu was present on the substrate. Hence, 145 °C is the temperature limit for the ALD of Cu2O from this precursor, as the precursor must not alter its chemical state after chemisorption on the substrate. 500 ALD cycles with the probed Cu precursor and wet O2 as co reactant were carried out on SiO2 at 145 °C. After ALD, in situ XPS analysis confirmed the presence of Cu2O on the substrate. Ex-situ spectroscopic ellipsometry indicated an average film thickness of 2.5 nm of Cu2O deposited with a growth per cycle of 0.05 Å/cycle, comparable to previous experiments. References: [1] T. Waechtler, S. Oswald, N. Roth, A. Jakob, H. Lang, R. Ecke, S. E. Schulz, T. Gessner, A. Moskvinova, S. Schulze, M. Hietschold, J. Electrochem. Soc., 156 (6), H453 (2009). [2] T. Waechtler, S. -F. Ding, L. Hofmann, R. Mothes, Q. Xie, S. Oswald, C. Detavernier, S. E. Schulz, X. -P. Qu, H. Lang, T. Gessner, Microelectron. Eng., 88, 684 (2011). [3] J. P. Espinós, J. Morales, A. Barranco, A. Caballero, J. P. Holgado, A. R. González Elipe, J. Phys. Chem. B, 106, 6921 (2002).
142

Investigating and Fabricating High-K (Al2O3) and Ferroelectric (HfO2) MIM-Capacitors for use in BEOL Fabrication Applications / Undersökning och tillverkning av hög-K (Al2O3) och ferroelektriska (HfO2) MIM-kondensatorer för användning i BEOL-tillverkningstillämpningar

Hackett, Thomas January 2021 (has links)
Integration of high-K Metal-Insulator-Metal (MIM) capacitors in the Back-end-of-line (BEOL) is a topic of interest for the further development of the process at KTH Royal Institute of Technology. MIM-capacitors benefit from having constant capacitance values over a range of voltages and/or frequencies. One significant limitation in the development of better MIM-capacitors is the temperature consideration for BEOL processes. For the process at KTH Institute of Technology the temperature should not exceed 600 °C, as this would damage underlying devices. This work aims to fabricate aluminium oxide MIM-capacitors as a standard BEOL process performed at low temperature, which has been achieved via atomic layer deposition (ALD). The fabricated aluminium oxide MIM-capacitors had a good quality factor, series resistance and low dissipation. The capacitance for a 10 nm thick aluminium oxide insulator layer was 1 µF/cm2, which exceeds the set requirement. This work also aimed to make ferroelectric aluminium doped hafnium oxide MIM-capacitors using ALD. The doping ratio was varied in ALD as this had been found to affect formation of the ferroelectric crystal phase after a rapid thermal annealing step. Three wafers of 20 nm thick hafnium oxide and differing ratios were found to not be ferroelectric. The intermediate doping ratio was found to appear slightly anti-ferroelectric. A 10 nm thick doped hafnium oxide of intermediate doping was also fabricated and was found to be ferroelectric with a remnant polarisation of 1 µC/cm2. Though this polarisation is relatively small, it shows that top electrode induced strain due to lattice mismatch could be responsible for the ferroelectric properties of the capacitor. The quality of the hafnium based capacitors seemed worse in comparison to the aluminium oxide capacitors, which is suspected to be due to oxygen vacancies, resulting in a high loss tangent. While this first experiment showed promising results, the ferroelectric remnant polarisation should be increased by an order of magnitude and the electrical benchmark values should be improved before these hafnium oxide MIM-capacitors can be used in the BEOL process. / Integratie van high-K MIM-condensatoren in de Back-end-of-line (BEOL) is een onderwerp van belang voor de ontwikkeling van het proces bij de KTH. MIM-condensatoren profiteren van een constante capaciteitswaarde over een reeks spanningen en/of frequenties. Een belangrijke beperking bij de ontwikkeling van betere MIM-condensatoren is het temperatuur limiet voor BEOL-processen. Bij de KTH moet de temperatuur niet hoger zijn dan 600 °C, omdat dit de onderliggende apparaten zou beschadigen. Dit werk heeft tot doel aluminiumoxide MIM-condensatoren te fabriceren als een standaard BEOL-proces met lage temperatuur, en heeft dit inderdaad bereikt via atomaire laagafzetting (ALD). De gefabriceerde aluminiumoxide MIM-condensatoren hadden een goede kwaliteitsfactor, serieweerstand en lage dissipatie. De capaciteit voor een 10 nm dikke aluminiumoxide-isolatorlaag was 1µF/cm2, hoger dan de gestelde eisen. Dit werk was ook gericht op het maken van ferro-elektrische aluminium gedoteerde hafniumoxide MIM-condensatoren met behulp van ALD. De doteringsverhouding werd gevarieerd in ALD, aangezien bleek dat dit de vorming van de ferro-elektrische kristalfase faciliteerde na een snelle thermische gloeistap. Drie wafers van 20 nm dik hafniumoxide en verschillende verhoudingen bleken niet ferro-elektrisch te zijn. De tussenliggende doteringsverhouding bleek enigszins anti-ferro-elektrisch te zijn. Een 10 nm dik gedoteerd hafniumoxide met intermediaire dotering werd ook gefabriceerd en bleek ferro-elektrisch te zijn met een restpolarisatie van 1 µC/cm2. Hoewel deze polarisatie relatief klein is, toont het aan dat de door de topelektrode geïnduceerde spanning als gevolg van roostermismatch verantwoordelijk zou kunnen zijn voor de ferro-elektrische eigenschappen van de condensator. De kwaliteit van de op hafnium gebaseerde isolator leek slechter in vergelijking met die van aluminiumoxide, hetgeen kan worden toegeschreven aan gebrek van zuurstof in het rooster, wat in een groot verlies resulteert. De ferro-elektriciteit moet met een orde van grootte worden verhoogd en de elektrische benchmarks moeten ook verhoogd worden voordat deze hafniumoxide MIM-condensatoren kunnen worden gebruikt in het BEOLproces. Sleutelwoorden: atomaire laagafzetting (ALD), Ferro-elektrisch, Metaal-Isolator- Metaal (MIM) condensator, lage temperatuur, snelle thermische gloeiing.
143

Atomistische Modellierung und Simulation des Filmwachstums bei Gasphasenabscheidungen

Lorenz, Erik E. 30 January 2015 (has links) (PDF)
Gasphasenabscheidungen werden zur Produktion dünner Schichten in der Mikro- und Nanoelektronik benutzt, um eine präzise Kontrolle der Schichtdicke im Sub-Nanometer-Bereich zu erreichen. Elektronische Eigenschaften der Schichten werden dabei von strukturellen Eigenschaften determiniert, deren Bestimmung mit hohem experimentellem Aufwand verbunden ist. Die vorliegende Arbeit erweitert ein hochparalleles Modell zur atomistischen Simulation des Wachstums und der Struktur von Dünnschichten, welches Molekulardynamik (MD) und Kinetic Monte Carlo-Methoden (KMC) kombiniert, um die Beschreibung beliebiger Gasphasenabscheidungen. KMC-Methoden erlauben dabei die effiziente Betrachtung der Größenordnung ganzer Nano-Bauelemente, während MD für atomistische Genauigkeit sorgt. Erste Ergebnisse zeigen, dass das Parsivald genannte Modell Abscheidungen in Simulationsräumen mit einer Breite von 0.1 µm x 0.1 µm effizient berechnet, aber auch bis zu 1 µm x 1 µm große Räume mit 1 Milliarden Atomen beschreiben kann. Somit lassen sich innerhalb weniger Tage Schichtabscheidungen mit einer Dicke von 100 Å simulieren. Die kristallinen und amorphen Schichten zeigen glatte Oberflächen, wobei auch mehrlagige Systeme auf die jeweilige Lagenrauheit untersucht werden. Die Struktur der Schicht wird hauptsächlich durch die verwendeten molekulardynamischen Kraftfelder bestimmt, wie Untersuchungen der physikalischen Gasphasenabscheidung von Gold, Kupfer, Silizium und einem Kupfer-Nickel-Multilagensystem zeigen. Stark strukturierte Substrate führen hingegen zu Artefakten in Form von Nanoporen und Hohlräumen aufgrund der verwendeten KMC-Methode. Zur Simulation von chemischen Gasphasenabscheidungen werden die Precursor-Reaktionen von Silan mit Sauerstoff sowie die Hydroxylierung von alpha-Al2O3 mit Wasser mit reaktiven Kraftfeldern (ReaxFF) berechnet, allerdings ist weitere Arbeit notwendig, um komplette Abscheidungen auf diese Weise zu simulieren. Mit Parsivald wird somit die Erweiterung einer Software präsentiert, die Gasphasenabscheidungen auf großen Substraten effizient simulieren kann, dabei aber auf passende molekulardynamische Kraftfelder angewiesen ist.
144

Thermal ALD of Cu via Reduction of CuxO films for the Advanced Metallization in Spintronic and ULSI Interconnect Systems

Mueller, Steve, Waechtler, Thomas, Hofmann, Lutz, Tuchscherer, Andre, Mothes, Robert, Gordan, Ovidiu, Lehmann, Daniel, Haidu, Francisc, Ogiewa, Marcel, Gerlich, Lukas, Ding, Shao-Feng, Schulz, Stefan E., Gessner, Thomas, Lang, Heinrich, Zahn, Dietrich R.T., Qu, Xin-Ping 21 February 2012 (has links) (PDF)
In this work, an approach for copper atomic layer deposition (ALD) via reduction of CuxO films was investigated regarding applications in ULSI interconnects, like Cu seed layers directly grown on diffusion barriers (e. g. TaN) or possible liner materials (e. g. Ru or Ni) as well as non-ferromagnetic spacer layers between ferromagnetic films in GMR sensor elements, like Ni or Co. The thermal CuxO ALD process is based on the Cu (I) β-diketonate precursor [(nBu3P)2Cu(acac)] and a mixture of water vapor and oxygen ("wet O2") as co-reactant at temperatures between 100 and 130 °C. Highly efficient conversions of the CuxO to metallic Cu films are realized by a vapor phase treatment with formic acid (HCOOH), especially on Ru substrates. Electrochemical deposition (ECD) experiments on Cu ALD seed / Ru liner stacks in typical interconnect patterns are showing nearly perfectly filling behavior. For improving the HCOOH reduction on arbitrary substrates, a catalytic amount of Ru was successful introduced into the CuxO films during the ALD with a precursor mixture of the Cu (I) β-diketonate and an organometallic Ru precursor. Furthermore, molecular and atomic hydrogen were studied as promising alternative reducing agents.
145

Entwicklung und Charakterisierung eines Prozesses zur thermischen Atomlagenabscheidung von Ruthenium mit in-situ Messtechnik / Development and characterisation for a thermal activated atomic layer deposition process of ruthenium via in-situ measurement techniques

Junige, Marcel 11 March 2011 (has links) (PDF)
Ruthenium und sein elektrisch leitfähiges Rutheniumdioxid sind viel versprechende Kandidaten als Elektrodenmaterial in MIM (Metall-Isolator-Metall-)Kondensatoren mit Dielektrika hoher Permittivität der nächsten Generation von DRAM-Speichern, als Metall-Gate-Elektroden in p-Kanal-MOS-Transistoren mit Dielektrika hoher Permittivität, oder als Keimschicht für das direkte elektrochemische Abscheiden von Kupfer-Verbindungsleitungen. Die ALD (Atomic Layer Deposition) wächst Materiallagen mit weniger als einem Zehntel Nanometer Dicke, indem sie gasförmige Reaktanden abwechselnd, getrennt durch spülende Pulse, in die Reaktionskammer einleitet. Dadurch wird mit jeder zyklischen Wiederholung idealerweise selbstbeendender Gas-Festkörper-Reaktionen stets die gleiche Materialmenge abgeschieden, bis eine gewünschte Schichtdicke erreicht ist. Wie sich die Oberfläche aufgrund der Materialabscheidung während der ALD verändert, kann mit der in-situ SE (Spektroskopische Ellipsometrie) beobachtet werden. Die Ellipsometrie misst die Änderung eines Polarisationszustands bzgl. Amplitude und Phase, nachdem ein einfallender Lichtstrahl von einer (schichtbedeckten) Oberfläche reflektiert und/ oder durch diese transmittiert wurde. Die ellipsometrischen Daten stehen im direkten Zusammenhang mit optischen Materialparametern und sind somit physikalisch interpretierbar – oder sie werden in eindimensionale strukturelle Größen, wie die Schichtdicke übersetzt. In dieser Arbeit wurden Schichten aus Ruthenium und Rutheniumdioxid aus dem Präkursor ECPR, [(Ethylcyclopentadienyl)(Pyrrolyl)Ruthenium(II)], und molekularem Sauerstoff per ALD gewachsen. Die chemischen Teilreaktionen wurden während der ALD von Ruthenium und Rutheniumoxid auf frisch abgeschiedenen Schichtoberflächen per in-situ SE, on-site QMS (Quadrupol-Massenspektrometrie) und XPS (Röntgen-Photoelektronenspektroskopie) ohne Vakuumunterbrechung untersucht. Weiterhin wurden Experimente zum Schichtwachstum auf frisch abgeschiedenen Schichten sowie einer Ausgangssubstratoberfläche per in-situ und Echtzeit SE durchgeführt, wobei die folgenden Prozessparameter variiert wurden: die jeweilige Reaktanden Dosis, die Spülpulsdauern, die Substrattemperatur und der Prozessdruck. / Ruthenium and its conductive dioxide are promising candidates as electrodes in MIM (metal-insulator-metal) capacitors with high-k dielectrics of next generation DRAM (dynamic random access memory) devices, as metal-gate electrodes in pMOS-Transistors with high-k dielectrics, and as seed layer for direct electrochemical plating of copper interconnects. ALD (atomic layer deposition) grows material layers with less than a tenth of a nanometer thickness, pulsing gaseous reactants alternately into the reaction chamber, separated by purging pulses. Hence, every cyclic recurrence of ideally self-limiting gas-solid reactions deposits a fixed material amount, until the desired film thickness is achieved. So, the surface’s chemical composition changes through material deposition during ALD, observable by in-situ SE (spectroscopic ellipsometry). Ellipsometry measures the polarization state’s change in amplitude and phase, reflecting an incident light beam from and/ or transmitting it through a (film covered) surface. The ellipsometric data can be directly related to optical material parameters and are thus physically interpretable – or they are translated into one-dimensional structural values, like film thickness. In this work, ruthenium and ruthenium dioxide films were grown from ECPR, [(ethylcyclopentadienyl)(pyrrolyl)ruthenium(II)], and molecular oxygen. Reaction mechanisms during the ALD of ruthenium and ruthenium dioxide were studied on the as-deposited film surface by in-situ SE, on-site QMS (quadrupole mass spectrometry), as well as XPS (x-ray photoelectron spectroscopy) without vacuum break. Additionally, film growth experiments were performed on the as-deposited film and the initial substrate surface by in-situ and real-time SE, varying the process parameters: reactant doses, purging times, substrate temperature and total pressure.
146

ALD-grown seed layers for electrochemical copper deposition integrated with different diffusion barrier systems

Waechtler, Thomas, Ding, Shao-Feng, Hofmann, Lutz, Mothes, Robert, Xie, Qi, Oswald, Steffen, Detavernier, Christophe, Schulz, Stefan E., Qu, Xin-Ping, Lang, Heinrich, Gessner, Thomas 18 May 2011 (has links) (PDF)
The deposition of Cu seed layers for electrochemical Cu deposition (ECD) via atomic layer deposition (ALD) of copper oxide and subsequent thermal reduction at temperatures between 110 and 120°C was studied on different diffusion barrier systems. While optimization of the process is required on TaN with respect to reduction and plating, promising results were obtained on blanket PVD Ru. The plating results on layers of ALD Cu with underlying Ru even outperformed the ones achieved on PVD Cu seed layers with respect to morphology and resistivity. Applying the processes to via and line patterns gave similar results, suggesting that a combination of ALD Cu with PVD or ALD-grown Ru could significantly improve the ECD Cu growth.
147

Growth Monitoring of Ultrathin Copper and Copper Oxide Films Deposited by Atomic Layer Deposition / Untersuchungen zum Wachstum ultradünner Kupfer- und Kupferoxid Schichten mittels Atomlagenabscheidung

Dhakal, Dileep 25 October 2017 (has links) (PDF)
Atomic layer deposition (ALD) of copper films is getting enormous interest. Ultrathin Cu films are applied as the seed layer for electrochemical deposition (ECD) of copper in interconnect circuits and as the non-magnetic material for the realization of giant magnetoresistance (GMR) sensors. Particularly, Co/Cu multi-layered structures require sub 4.0 nm copper film thickness for obtaining strong GMR effects. The physical vapor deposition process for the deposition of the copper seed layers are prone to non-conformal coating and poor step coverage on side-walls and bottoms of trenches and vias, and presence of overhanging structures. This may cause failure of interconnections due to formation of voids after copper ECD. ALD is the most suitable technology for the deposition of conformal seed layers for the subsequent ECD in very high aspect ratio structures, also for the technology nodes below 20 nm. Surface chemistry during the ALD of oxides is quite well studied. However, surface chemistry during the ALD of pure metal is rather immature. This knowledge is necessary to optimize the process parameters, synthesize better precursors systems, and enhance the knowledge of existing metal ALD processes. The major goal of this work is to understand the surface chemistry of the used precursor and study the growth of ultrathin copper films using in-situ X-ray photoelectron spectroscopy (XPS). Copper films are deposited by ALD using the precursor mixture consisting of 99 mol% [(nBu3P)2Cu(acac)], as copper precursor and 1 mol% of Ru(η5 C7H11)(η5 C5H4SiMe3), as ruthenium precursor. The purpose in having catalytic amount of ruthenium precursor is to obtain the Ru doped Cu2O layers for subsequent reduction with formic acid at temperatures below 150 °C on arbitrary substrates. Two different approaches for the growth of ultrathin copper films have been studied in this dissertation. In the first approach, direct thermal ALD of copper has been studied by using H2 as co-reactant on Co as catalytic substrate. In the second approach, Ru-doped Cu2O is deposited by ALD using wet-O2 as co-reactant on SiO2 as non-catalytic substrate. The Ru-doped Cu2O is successfully reduced by using either formic acid or carbon-monoxide on SiO2. / Atomlagenabscheidung (ALD) von Kupfer steht im Fokus der ALD Gemeinschaft. Ultradünne Kupferschichten können als Keimschicht für die elektrochemische Abscheidung (ECD) von Kupfer in der Verbindungstechnologie eingesetzt werden. Sie können ebenfalls für Sensoren, welche auf den Effekt des Riesenmagnetowiderstandes (GMR) basieren, als nicht-ferromagnetische Zwischenschicht verwendet werden. Insbesondere Multischichtstrukturen aus ferromagnetische Kobalt und Kupfer erfordern Schichtdicken von weniger als 4,0 nm, um einen starken GMR-Effekt zu gewährleisten. Das derzeit verwendete physikalische Dampfabscheidungsverfahren für ultradünne Kupferschichten, ist besonders anfällig für eine nicht-konforme Abscheidung an den Seitenwänden und Böden von Strukturen mit hohem Aspektverhältnis. Des Weiteren kann es zur Bildung von Löchern und überhängenden Strukturen kommen, welche bei der anschließenden Kupfer ECD zu Kontaktlücken (Voids) führen können. Für die Abscheidung einer Kupfer-Keimschicht ist die ALD besonders gut geeignet, da sie es ermöglicht, ultradünne konforme Schichten auf strukturierten Oberflächen mit hohem Aspektverhältnis abzuscheiden. Dies macht sie zu einer der Schlüsseltechnologien für Struckturgrößen unter 20 nm. Im Gegensatz zur Oberflächenchemie rein metallischer ALD sind die Oberflächenreaktionen für oxidische ALD Schichten sehr gut untersucht. Die Kenntnis der Oberflächenchemie während eines ALD Prozesses ist essenziel für die Bestimmung von wichtigen Prozessparametern als auch für die Verbesserung der Präkursorsynthese ansich. Diese Arbeit beschäftigt sich mit der Untersuchung der Oberflächenchemie und Charakterisierung des Wachstums von ultradünnen Metall-Cu-Schichten mittels In-situ XPS, welche eines indirekten (Oxid) bzw. direkten Metall-ALD Prozesses abgeschieden werden, wobei die Kupfer-Oxidschichten im Anschluss einem Reduktionsprozess unterworfen werden. Hierfür wird eine Präkursormischung bestehend aus 99 mol% [(nBu3P)2Cu(acac)] und 1 mol% [Ru(η5 C7H11)(η5-C5H4SiMe3)] verwendet. Die katalytische Menge an Ru, welche in der entstehenden Cu2O Schicht verbleibt, erhöht den Effekt der Reduktion der Cu2O Schicht auf beliebigen Substraten mit Ameinsäure bei Wafertemperaturen unter 150 °C. In einem ersten Schritt wird ein direkter thermisches Kupfer ALD-Prozess, unter Verwendung von molekularem Wasserstoff als Coreaktant, auf einem Kobalt-Substrat untersucht. In einem zweiten Schritt wird ein indirekter thermischer Cu2O-ALD-Prozess, unter gleichzeitiger Verwendung von Sauerstoff und Wasserdampf als Coreaktant, mit anschließender Reduktion durch Ameinsäure oder Kohlenstoffmonoxid zu Kupfer auf den gleichen Substraten betrachtet. Die vorliegende Arbeit beschreibt das Wachstum von ultradünnen und kontinuierlichen Kupfer-Schichten mittels thermischer ALD auf inerten- SiO2 und reaktiven Kobalt-Substraten.
148

Transition à la turbulence en écoulements compressibles décollés / Turbulence transition in compressible separated flows

Diop, Moussa 03 November 2017 (has links)
Les recherches sur les instationnarités des Interactions Ondes de Choc Couches Limites (IOCCL) turbulentes ont permis une description détaillée de celles-ci tant expérimentalement que numériquement . Ceci a conduit à plusieurs schémas susceptibles d'expliquer les respirations à basses fréquences observées dans de tels écoulements. Les configurations avec des conditions amont laminaires ou transitionnelles ont été moins étudiées.Dans le cadre du programme Européen TFAST, un important effort a été mené afin de développer des dispositifs expérimentaux, conjointement à des simulations numériques, permettant une étude détaillée de ces configurations. Dans le cadre de cette thèse, on a mis en place une configuration de réflexion d'onde de choc sur une couche limite laminaire pour un nombre de Mach de 1.68. L'utilisation des métrologies classiques (Anémométrie Laser Doppler, Anémométrie Fil Chaud), adaptées à ces conditions expérimentales particulières, a permis de décrire les propriétés spatio-temporelles de ces écoulements. Le champ moyen a été caractérisé et comparé aux théories classique et aux résultats obtenus dans différentes souffleries.Un schéma décrivant le mécanisme de transition à la turbulence au sein de l'interaction a été développé. Sa sensibilité aux conditions amont a été étudiée en plaçant des perturbations en amont de l'interaction. Dans tous les cas, des instationnarités convectives (haute fréquence) et stationnaires (basse fréquence) ont été observées et comparées à celles existantes pour les configurations amont turbulentes. Une gamme intermédiaire d'instationnarités convectives (moyenne fréquence) a été mise en évidence et caractérisée. / Research dedicated to the study of the unsteadiness of turbulent Shock Wave Boundary Layer Interaction (SWBLI) has allowed a detailed description of this kind of interaction both experimentally and numerically. Several scenario were proposed to explain the low frequency unsteadiness observed in separated SWBLI. Nevertheless, the literature on this kind of flow involving either upstream laminar or transitional conditions is quite reduce. Within the framework of the European TFAST program, an important effort was made to develop experimental devices, in conjunction with numerical simulations, allowing a detailed study of these laminar or transitional configurations. In particular, within the framework of this thesis, a shock wave reflection configuration on a laminar boundary layer was set-up, with a nominal free stream Mach number of 1.68. Using classical metrology (Laser Doppler Anemometry, Hot WireAnemometry) that have been adapted to these particular experimental conditions, we have been able to describe the spatio-temporal properties of the interaction. The mean field has been characterized and compared with the classical theories and the results obtained in other configurations.A model describing the transition mechanisms to turbulence within the interaction has been developed. Its sensitivity to upstream conditions was studied by placing perturbations upstream of the interaction. In all cases, convective (high frequency) and stationary (low frequency) unsteadiness were observed and compared with those existing for upstream turbulent configurations. An intermediate range of convective unsteadiness (medium frequency) has been demonstrated and characterized.
149

ALD-grown seed layers for electrochemical copper deposition integrated with different diffusion barrier systems

Waechtler, Thomas, Ding, Shao-Feng, Hofmann, Lutz, Mothes, Robert, Xie, Qi, Oswald, Steffen, Detavernier, Christophe, Schulz, Stefan E., Qu, Xin-Ping, Lang, Heinrich, Gessner, Thomas January 2011 (has links)
The deposition of Cu seed layers for electrochemical Cu deposition (ECD) via atomic layer deposition (ALD) of copper oxide and subsequent thermal reduction at temperatures between 110 and 120°C was studied on different diffusion barrier systems. While optimization of the process is required on TaN with respect to reduction and plating, promising results were obtained on blanket PVD Ru. The plating results on layers of ALD Cu with underlying Ru even outperformed the ones achieved on PVD Cu seed layers with respect to morphology and resistivity. Applying the processes to via and line patterns gave similar results, suggesting that a combination of ALD Cu with PVD or ALD-grown Ru could significantly improve the ECD Cu growth.
150

Thermal ALD of Cu via Reduction of CuxO films for the Advanced Metallization in Spintronic and ULSI Interconnect Systems

Mueller, Steve, Waechtler, Thomas, Hofmann, Lutz, Tuchscherer, Andre, Mothes, Robert, Gordan, Ovidiu, Lehmann, Daniel, Haidu, Francisc, Ogiewa, Marcel, Gerlich, Lukas, Ding, Shao-Feng, Schulz, Stefan E., Gessner, Thomas, Lang, Heinrich, Zahn, Dietrich R.T., Qu, Xin-Ping January 2011 (has links)
In this work, an approach for copper atomic layer deposition (ALD) via reduction of CuxO films was investigated regarding applications in ULSI interconnects, like Cu seed layers directly grown on diffusion barriers (e. g. TaN) or possible liner materials (e. g. Ru or Ni) as well as non-ferromagnetic spacer layers between ferromagnetic films in GMR sensor elements, like Ni or Co. The thermal CuxO ALD process is based on the Cu (I) β-diketonate precursor [(nBu3P)2Cu(acac)] and a mixture of water vapor and oxygen ("wet O2") as co-reactant at temperatures between 100 and 130 °C. Highly efficient conversions of the CuxO to metallic Cu films are realized by a vapor phase treatment with formic acid (HCOOH), especially on Ru substrates. Electrochemical deposition (ECD) experiments on Cu ALD seed / Ru liner stacks in typical interconnect patterns are showing nearly perfectly filling behavior. For improving the HCOOH reduction on arbitrary substrates, a catalytic amount of Ru was successful introduced into the CuxO films during the ALD with a precursor mixture of the Cu (I) β-diketonate and an organometallic Ru precursor. Furthermore, molecular and atomic hydrogen were studied as promising alternative reducing agents.

Page generated in 0.0702 seconds