• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 14
  • 9
  • 6
  • 1
  • Tagged with
  • 30
  • 19
  • 17
  • 14
  • 12
  • 12
  • 9
  • 9
  • 9
  • 9
  • 9
  • 9
  • 8
  • 8
  • 7
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
11

Einsatz von Niederdruck-Mikrowellen-Plasmen für die Oberflächenmodifikation und Erzeugung von Diffusions-Barrieren auf Brennstoffzellen-Membranen

Feichtinger, Jochen, January 2004 (has links)
Stuttgart, Univ., Diss., 2004.
12

Einsatz von Poly(3,4-ethylendioxithiophen) als Katalysatorträger und Methanolbarriere in der Anode der Direktmethanol-Brennstoffzelle

Drillet, Jean-François January 2008 (has links)
Zugl.: Erlangen, Nürnberg, Univ., Diss., 2008
13

Herstellung ultraschmaler Leitbahnen mit herkömmlichen Prozessen und Untersuchungen zum Pore Sealing bei porösen low-k Dielektrika

Bonitz, Jens 31 March 2005 (has links)
Gegenstand dieser Arbeit sind zwei Problemstellungen der Mikroelektronik. Dies ist einerseits die Notwendigkeit zur Erzeugung kleiner Strukturgrößen um Untersuchungen an diesen durchzuführen. Innerhalb dieser Arbeit wurden ultraschmale Leitbahnen mit herkömmlichen Prozessen hergestellt, was den Einsatz neuer Lithographieanlagen oder auflösungsverbessernden Maßnahmen nicht notwendig macht. Hierzu wurde für zwei Verfahren, die Backfill- und die TiN-Spacer-Technologie, die Prozessschritte entwickelt und untersucht und beide Technologien hinsichtlich der erreichbaren Strukturgrößen bewertet. Das zweite Thema dieser Arbeit war das pore sealing von porösen low-k-Dielektrika. Für diese Untersuchungen wurden verschiedene pore sealing Methoden wie Plasmabehandlungen oder dünne Schichten (Liner) angewandt. Die Effektivität dieser Methoden, auch in Abhängigkeit von low-k-Materialien mit verschiedenen Porengrößen, wurde durch Rasterelektronenmikroskopie, Untersuchung der Barrierestabilität und Untersuchung von Diffusion der Barriere in das Dielektrikum mittels TEM und EDX line scan bestimmt. / This work is concerned with two problems of microelectronic research. This is first the need for small features sizes to carry out investigations on them. Within this work very narrow conductor lines were fabricated with conventional processes. So the use of new lithography tools or resolution enhancement techniques was not necessary. For two technologies, the Backfill and the TiN spacer technology, the process steps were developed and investigated and both technologies were evaluated regarding the reachable feature sizes. The second topic was pore sealing of porous low k dielectrics. For these investigations various pore sealing methods like plasma treatments or thin films (liner) were applied. The effectiveness of these methods, also depending of low k materials with different pore sizes, were investigated by scanning electron microscopy, by barrier integrity investigations and by investigations of barrier diffusion into the dielectric material using TEM and EDX line scan.
14

Optical Properties of Sputtered Tantalum Nitride Films Determined by Spectroscopic Ellipsometry

Waechtler, Thomas, Gruska, Bernd, Zimmermann, Sven, Schulz, Stefan E., Gessner, Thomas 16 March 2006 (has links)
Tantalum and tantalum nitride thin films are routinely applied as diffusion barriers in state-of-the-art metallization systems of microelectronic devices. In this work, such films were prepared by reactive magnetron sputtering on silicon and oxidized silicon substrates and studied by spectroscopic ellipsometry in the spectral range from 190 nm to 2.55 μm. The complex refractive index for thick films (75 to 380 nm) was modeled using a Lorentz-Drude approach. These models were applied to film stacks of 20 nm TaN / 20 nm Ta on unoxidized and thermally oxidized Si. With free oscillator parameters, accurate values of the film thicknesses were obtained according to cross-sectional scanning electron microscope (SEM) measurements. At the same time, a strong variation of the optical properties with film thickness and substrate was observed.
15

Abscheidung (CVD) und Charakterisierung W-basierter Diffusionsbarrieren für die Kupfermetallisierung

Ecke, Ramona 11 December 2006 (has links)
Die Arbeit beschreibt die Entwicklung von plasmaunterstützten CVD-Prozessen zur Abscheidung ultradünner (&le;10 nm) wolframbasierter Diffusionsbarrieren für die Kupfermetallisierung in integrierten Schaltkreisen. Es wird ein PECVD-Prozess mit der Gaschemie WF<sub>6</sub>/N<sub>2</sub>/H<sub>2</sub>/(Ar) vorgestellt, mit dem amorphe und leitfähige WN<sub>x</sub>-Schichten abgeschieden werden. Dabei wird der Prozess umfassend charakterisiert (z.B. Rate, Homogentität, Kantenbedeckung) und die Einflüsse von Parameteränderungen (besonders Gasflussvariationen) auf die Schichteigenschaften untersucht. Ausgewählte Schichtzusammensetzungen, welche den Barriereanforderungen hinsichtlich geringen elektrischen Widerstandes und sehr guter Homogenität über den Wafer entsprachen, wurden im für den praktischen Einsatz relevanten Schichtdickenbereich von 10 nm eingehender untersucht. Dies erfolgte einerseits mikrostrukturell mit GI-XRD, GDOES und TEM zu Schichtzusammensetzung, Kristallisationsverhalten und Schichtstabilität unter Wärmebehandlung in verschiedenen Medien in direktem Kontakt zu Kupfer. Zudem erfolgte die Beurteilung der Diffusionswirkung der WN<sub>x</sub>-Schichten mit elektrischen Messverfahren (CV, TVS) über MIS-Strukturen. Auf Grundlage des WN<sub>x</sub>-Prozesses wird durch Zugabe von Silan zur Prozessgaschemie die Möglichkeit der Abscheidung einer ternären Zusammensetzung WSiN untersucht. Es erfolgt eine ausführliche Auswertung der Literatur zu verschiedenen WSiN-Abscheideprozessen und eine Wertung der beschriebenen ternären Zusammensetzung in Bezug auf geringen elektrischen Widerstand und thermischer Stabilität. Mit den daraus gewonnenen Erkenntnissen kann ein ternärer Zusammensetzungsbereich von Me-Si-N eingegrenzt werden, der sowohl amorphe Mikrostruktur, niedrigen elektrischen Widerstand und hohe thermische Stabilität garantiert. Der entwickelte PECVD-Prozess mit Silan führte zu einer Si-stabilisierten WN<sub>x</sub>-Schicht mit nur geringfügig höherer thermischer Stabilität aber deutlich höheren elektrischen Widerstand. Es wird die Frage diskutiert, ob die Entwicklung einer amorphen ternären Verbindung mit höherer thermischer Stabilität aber zu Lasten des elektrischen Widerstandes notwendig ist, wenn für das Stoffsystem schon eine amorphe binäre Zusammensetzung existiert, die die Anforderungen einer Diffusionsbarriere hinsichtlich hoher Leitfähigkeit und ausreichend hoher thermischer Stabilität erfüllt.
16

Untersuchungen zu Schichtwachstum und Grenzflächen an Ta-basierten Dünnschichten mittels XPS

Zier, Michael 14 December 2007 (has links) (PDF)
In der vorliegenden Arbeit wird das Wachstum von Ta- und TaN-Schichten auf Si- und SiO_2-Substraten untersucht Die Schichten werden dabei unter technologienahen Bedingungen mittels Magnetron-Sputtern abgeschieden. Die Untersuchungen erfolgen hauptsächlich mit winkelaufgelöster röntgenstrahlungsangeregter Photoelektronenspektroskopie (ARXPS). Die Analysen erfolgen in situ, ohne Unterbrechung des Ultrahochvakuums, um die Deposite vor Oxidation und Kontamination zu schützen. Zur zerstörungsfreien Tiefenprofilanalyse wird ein Quantifizierungsalgoritmus beschrieben und angewandt. Für die Kombination Ta/Si wird die Bildung einer zunächst unvollständigen TaSi_2-Schicht, danach das Aufwachsen von Ta auf diese Zwischenschicht beobachtet. Für die Kombination Ta/SiO_2 wird eine Reduktion des SiO_2-Substrates bei gleichzeitigem Aufwachsen von Ta-Oxiden beobachtet. Auf dem durchmischten Schichtstapel wächst danach Ta auf. Für die Kombination TaN/Si wird die Bildung einer Si-N-Zwischenschicht bei gleichzeitigem Wachsen einer TaN-Schicht beobachtet. Für die Kombination TaN/SiO_2 wird das Aufwachsen einer TaN-Schicht ohne Ausbilung von Zwischenschichten beobachtet. Das Wachstumsverhalten des Ta/Si-Systems wird zusätzlich mit in situ Rastertunnelmikroskopie und -spektroskopie untersucht. Es wurden Untersuchungen zur thermischen Stabilität von abgeschiedenen Schichten an den Systemen Ta/Si und TaN/SiO_2 durchgeführt. Als mögliche Alternative zur winkelaufgelösten XPS wurden Untersuchungen mittels synchrotronstrahlungsangeregter Photoelektronenspektroskopie bei variierter Anregungsenergie durchgeführt.
17

Herstellung, Charakterisierung und Bewertung leitfähiger Diffusionsbarrieren auf Basis von Tantal, Titan und Wolfram für die Kupfermetallisierung von Siliciumschaltkreisen / Preparation, characterization and evaluation of conductive diffusion barriers based on tantalum, titanium and tungsten for the copper metallization of silicon integrated circuits

Baumann, Jens 16 May 2004 (has links) (PDF)
Summary (english): The thesis investigates the potential of thin films of Ta, Ti and W and their nitrides to suppress copper induced interactions in the contact area to silicon. Possible interactions between Cu and gaseos or solid materials within preparation and lifetime of an integrated circuit are summarized. The degradation mechanisms to be expected are the solution of Cu in Si and the formation of Cu3Si. Thin conductive diffusion barriiers are needed to suppress this mechanisms. The requirements on these barriers are discussed. The most important criterion, their resistivity, is determined by the place of application. The resitivity has to be lower than 100 mOhmcm for contacts and lower than 2000 mikroOhmcm for vias. The materials to be separated by a diffusion barrier can pass it by diffusion or the diffusion barrier can be destroyed by reaction (reactive diffusion). Therefore one can distinguish in passive and sacrificial barriers. The thin films were prepared by magnetron sputtering in Ar or Ar/N2 mixture. The films were characterized with respect to composition, phase/structure as well as their resulting electrical, optical, and mechanical properties. The appearance of new phases correlates with changes in process parameters like target voltage and condensation rate. All films - except for a small process window for amorphous/nanocrystalline WNx films - are polycrystalline. The influence of annealing steps in different ambients is investigated. Amorphous/nanocrystalline WNx films do recrystallize during annealing. For a direct contact of Cu to Si a sufficient energy supply during Cu depotsition or during following annealing (T> 200 °C) results in the formation of Cu3Si. The potential of diffusion barriers of different thicknesses and nitrogen contents to suppress this reaction is investigated for annealing steps up to 650 °C. The characterization is performed by analytical methods, sheet resistance measurements as well as leakage current measurements (pn, np and schottky diodes). A diffusion barrier is able to suppress the Cu3Si formation, until itself is consumed by silicidation or intermetallic phase formation. The metal nitrides are more stable, since the present metal nitrogen bonding has to be broken before these reactions can start. With the failure of a diffusion barrier a Cu Si contact occurs with the consequence of copper silicide formation. The silicidation can be either homogeneous (on a large area) or in the form of crystallites several mikrometers in diameter. The distance between the crystallites is up to several 100 mikrometers. It is shown, that results of a barrier evaluation can be paradox if different methods are applied to the same sample. The diffusion of Cu accross a barrier into Si can be shown using analytical methods, already before the formation of Cu3Si. However, the leakage current of pn or schottky diodes is not or not unequivocal modified by this diffusion. The leakage current does not change before the diodes are shorted by the Cu3Si formation. The results of parallel prepared references with Al metallization show, that the diffusion barriers are more stable in a Cu metallization than in an Al metallization. / Zusammenfassung (detusch): Die Arbeit beschreibt das Potential von Schichten des Ta, Ti, W und ihrer Nitride zur Unterdrückung kupferinduzierter Degradationen im Kontakt zu Silicium. Mögliche Wechselwirkungen zwischen Cu und den im Herstellungsprozess sowie der Lebensdauer von Schaltkreisen präsenten Gasen und Feststoffen werden zusammengestellt. Für das System Cu-Si sind als Degradationsmechanismen die Lösung von Cu und die Cu3Si Bildung zu erwarten. Die Anforderungen an die zur Unterdrückung der Degradationen notwendigen leitfähigen Diffusionsbarrieren werden diskutiert. Ihr spezifischer elektrischer Widerstand als wichtigstes Kriterium für die Integration wird vom Einsatzort bestimmt. Er muss für Kontakte unter 100 mOhm cm und für Vias unter 2000 mikroOhmcm liegen. Diffusionsbarrieren können von den zu trennenden Materialien durch Diffusion überwunden oder durch Reaktion (reaktive Diffusion) aufgezehrt werden. Damit kann in passive und Opferbarrieren unterschieden werden. Die Schichtherstellung erfolgt mit dem Verfahren der Magnetronzerstäubung in Ar oder Ar/N2 Atmosphäre. Sie werden hinsichtlich ihrer Zusammensetzung, Phase/Struktur sowie resultierender elektrischer, optischer und mechanischer Eigenschaften charakterisiert. Das Auftreten neuer Phasen korreliert mit Verlaufsänderungen einfach zugänglicher Prozessparameter wie Targetspannung und Kondensationsrate. Alle Schichten mit Ausnahme eines engen Prozessfensters für amorphes/nanokristallines WNx sind polykristallin. Der Einfluss von Temperungen in verschiedenen Medien wird untersucht. Amorphe/nanokristalline WNx Schichten rekristallisieren während Temperung. Für direkten Kontakt Cu zu Si führt ausreichende Energiezufuhr schon während der Abscheidung oder während nachfolgender Temperung (T> 200 °C) zur Cu3Si Bildung. Das Potential der Diffusionsbarrieren zur Unterdrückung dieser Reaktion wird für unterschiedliche Dicken und Stickstoffgehalte nach Temperungen bis maximal 650 °C untersucht. Dazu werden analytische Methoden, Schichtwiderstandsmessungen und Sperrstromdichtemessungen an pn, np und Schottkydioden eingesetzt. Die Diffusionsbarrieren können die Cu3Si Bildung unterdrücken, bis sie selbst durch Silicierung und/oder intermetallische Phasenbildung aufgezehrt sind. Die Nitride der Metalle sind thermisch stabiler, weil Metall Stickstoff Bindungen erst aufgebrochen werden müssen. Mit dem Versagen der Barrieren treffen Cu und Si zusammen - mit der Folge der Kupfersilicidbildung. Sie kann grossflächig oder in Form mikrometergrosser und einige 100 mikrometer voneinander entfernt liegender Kristallite stattfinden. Für beide Degradationsmechanismen kann gezeigt werden, dass eine Barrierebewertung für unterschiedliche Methoden paradoxe Ergebnisse liefern kann. Die Cu Diffusion über die Diffusionsbarriere in das Si kann mit analytischen Methoden schon vor der Cu3Si Bildung nachgewiesen werden. Der Sperrstrom von pn oder Schottkydioden wird dadurch nicht bzw. nicht eindeutig verändert. Er reagiert erst, wenn sie durch Cu3Si Wachstum kurzgeschlossen sind. Ergebnisse parallel präparierter Referenzen mit Al Metallisierung belegen, dass die Diffusionsbarrieren gegen Cu gleich oder besser wirken als gegen Al.
18

Herstellung, Charakterisierung und Bewertung leitfähiger Diffusionsbarrieren auf Basis von Tantal, Titan und Wolfram für die Kupfermetallisierung von Siliciumschaltkreisen / Preparation, characterization and evaluation of conductive diffusion barriers based on tantalum, titanium and tungsten for the copper metallization of silicon integrated circuits

Baumann, Jens 21 May 2004 (has links) (PDF)
The thesis investigates the potential of thin films of Ta, Ti and W and their nitrides to suppress copper induced interactions in the contact area to silicon. Possible interactions between Cu and gaseos or solid materials within preparation and lifetime of an integrated circuit are summarized. The degradation mechanisms to be expected are the solution of Cu in Si and the formation of Cu3Si. Thin conductive diffusion barriiers are needed to suppress this mechanisms. The requirements on these barriers are discussed. The most important criterion, their resistivity, is determined by the place of application. The resitivity has to be lower than 100 mOhmcm for contacts and lower than 2000 mikroOhmcm for vias. The materials to be separated by a diffusion barrier can pass it by diffusion or the diffusion barrier can be destroyed by reaction (reactive diffusion). Therefore one can distinguish in passive and sacrificial barriers. The thin films were prepared by magnetron sputtering in Ar or Ar/N2 mixture. The films were characterized with respect to composition, phase/structure as well as their resulting electrical, optical, and mechanical properties. The appearance of new phases correlates with changes in process parameters like target voltage and condensation rate. All films - except for a small process window for amorphous/nanocrystalline WNx films - are polycrystalline. The influence of annealing steps in different ambients is investigated. Amorphous/nanocrystalline WNx films do recrystallize during annealing. For a direct contact of Cu to Si a sufficient energy supply during Cu depotsition or during following annealing (T> 200 °C) results in the formation of Cu3Si. The potential of diffusion barriers of different thicknesses and nitrogen contents to suppress this reaction is investigated for annealing steps up to 650 C. The characterization is performed by analytical methods, sheet resistance measurements as well as leakage current measurements (pn, np and schottky diodes). A diffusion barrier is able to suppress the Cu3Si formation, until itself is consumed by silicidation or intermetallic phase formation. The metal nitrides are more stable, since the present metal nitrogen bonding has to be broken before these reactions can start. With the failure of a diffusion barrier a Cu Si contact occurs with the consequence of copper silicide formation. The silicidation can be either homogeneous (on a large area) or in the form of crystallites several mikrometers in diameter. The distance between the crystallites is up to several 100 mikrometers. It is shown, that results of a barrier evaluation can be paradox if different methods are applied to the same sample. The diffusion of Cu accross a barrier into Si can be shown using analytical methods, already before the formation of Cu3Si. However, the leakage current of pn or schottky diodes is not or not unequivocal modified by this diffusion. The leakage current does not change before the diodes are shorted by the Cu3Si formation. The results of parallel prepared references with Al metallization show, that the diffusion barriers are more stable in a Cu metallization than in an Al metallization. (copying allowed) new: pdf version 1.4 / Die Arbeit beschreibt das Potential von Schichten des Ta, Ti, W und ihrer Nitride zur Unterdrückung kupferinduzierter Degradationen im Kontakt zu Silicium. Mögliche Wechselwirkungen zwischen Cu und den im Herstellungsprozess sowie der Lebensdauer von Schaltkreisen präsenten Gasen und Feststoffen werden zusammengestellt. Für das System Cu-Si sind als Degradationsmechanismen die Lösung von Cu und die Cu3Si Bildung zu erwarten. Die Anforderungen an die zur Unterdrückung der Degradationen notwendigen leitfähigen Diffusionsbarrieren werden diskutiert. Ihr spezifischer elektrischer Widerstand als wichtigstes Kriterium für die Integration wird vom Einsatzort bestimmt. Er muss für Kontakte unter 100 mOhm cm und für Vias unter 2000 mikroOhmcm liegen. Diffusionsbarrieren können von den zu trennenden Materialien durch Diffusion überwunden oder durch Reaktion (reaktive Diffusion) aufgezehrt werden. Damit kann in passive und Opferbarrieren unterschieden werden. Die Schichtherstellung erfolgt mit dem Verfahren der Magnetronzerstäubung in Ar oder Ar/N2 Atmosphäre. Sie werden hinsichtlich ihrer Zusammensetzung, Phase/Struktur sowie resultierender elektrischer, optischer und mechanischer Eigenschaften charakterisiert. Das Auftreten neuer Phasen korreliert mit Verlaufsänderungen einfach zugänglicher Prozessparameter wie Targetspannung und Kondensationsrate. Alle Schichten mit Ausnahme eines engen Prozessfensters für amorphes/nanokristallines WNx sind polykristallin. Der Einfluss von Temperungen in verschiedenen Medien wird untersucht. Amorphe/nanokristalline WNx Schichten rekristallisieren während Temperung. Für direkten Kontakt Cu zu Si führt ausreichende Energiezufuhr schon während der Abscheidung oder während nachfolgender Temperung (T > 200 °C) zur Cu3Si Bildung. Das Potential der Diffusionsbarrieren zur Unterdrückung dieser Reaktion wird für unterschiedliche Dicken und Stickstoffgehalte nach Temperungen bis maximal 650 C untersucht. Dazu werden analytische Methoden, Schichtwiderstandsmessungen und Sperrstromdichtemessungen an pn, np und Schottkydioden eingesetzt. Die Diffusionsbarrieren können die Cu3Si Bildung unterdrücken, bis sie selbst durch Silicierung und/oder intermetallische Phasenbildung aufgezehrt sind. Die Nitride der Metalle sind thermisch stabiler, weil Metall Stickstoff Bindungen erst aufgebrochen werden müssen. Mit dem Versagen der Barrieren treffen Cu und Si zusammen - mit der Folge der Kupfersilicidbildung. Sie kann grossflächig oder in Form mikrometergrosser und einige 100 mikrometer voneinander entfernt liegender Kristallite stattfinden. Für beide Degradationsmechanismen kann gezeigt werden, dass eine Barrierebewertung für unterschiedliche Methoden paradoxe Ergebnisse liefern kann. Die Cu Diffusion über die Diffusionsbarriere in das Si kann mit analytischen Methoden schon vor der Cu3Si Bildung nachgewiesen werden. Der Sperrstrom von pn oder Schottkydioden wird dadurch nicht bzw. nicht eindeutig verändert. Er reagiert erst, wenn sie durch Cu3Si Wachstum kurzgeschlossen sind. Ergebnisse parallel präparierter Referenzen mit Al Metallisierung belegen, dass die Diffusionsbarrieren gegen Cu gleich oder besser wirken als gegen Al. (Kopiermöglichkeit) neu: PDF-Version 1.4
19

Herstellung, Charakterisierung und Bewertung leitfähiger Diffusionsbarrieren auf Basis von Tantal, Titan und Wolfram für die Kupfermetallisierung von Siliciumschaltkreisen

Baumann, Jens, January 2004 (has links)
Chemnitz, Techn. Univ., Diss., 2003. / Auch im Shaker-Verl. ersch. u.d.Titel: Herstellung, Charakterisierung und Bewertung von leitfähigen Diffusionsbarrieren auf Basis von Ta, Ti und W für die Kupfermetallisierung von Siliciumschaltkreisen, 2004 (3-8322-2532-3).
20

Low-k SiCxNy Etch-Stop/Diffusion Barrier Films for Back-End Interconnect Applications

Leu, Jihperng, Tu, H.E., Chang, W.Y., Chang, C.Y., Chen, Y.C., Chen, W.C., Zhou, H.Y. 22 July 2016 (has links)
Lower k and low-leakage silicon carbonitride (SiCxNy ) films were fabricated using single precursor by using radio-frequency (RF) plasma-enhanced chemical vapor deposition (PECVD). We explored precursors with (1) cyclic-carbon-containing structures, (2) higher C/Si ratio, (3) multiple vinyl groups, as well as (4) the incorporation of porogen for developing low-k SiCxNy films as etch-stop/diffusion barrier (ES/DB) layer for copper interconnects in this study. SiCxNy films with k values between 3.0 and 3.5 were fabricated at T≦ 200 o C, and k~4.0-4.5 at 300-400 °C. Precursors with vinyl groups yielded SiCxNy films with low leakage, excellent optical transmittance and high mechanical strength due to the formation of cross-linked Si-(CH2)n-Si linkages.

Page generated in 0.1159 seconds