• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 22
  • 20
  • 6
  • 6
  • 2
  • 1
  • 1
  • 1
  • 1
  • 1
  • Tagged with
  • 71
  • 23
  • 20
  • 19
  • 16
  • 15
  • 14
  • 13
  • 12
  • 12
  • 12
  • 11
  • 11
  • 10
  • 9
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
61

Renversement d'aimantation dans des nanostructures par propagation de parois de domaines sous champ magnétique et courant électrique

Cormier, Mathieu 05 December 2008 (has links) (PDF)
La paroi de domaine magnétique est un concept essentiel à la compréhension du renversement d'aimantation dans un film ou une nanostructure magnétique, et peut être mise en jeu dans les processus d'écriture et de transmission d'une information dans un nano-dispositif. Théoriquement, nous avons mis en évidence, dans une nanostructure magnétique sans défauts, des effets de confinement sur la propagation d'une paroi sous champ magnétique et/ou sous courant polarisé en spin. Ceci a été illustré par l'étude, par microscopie magnéto-optique, de la propagation de paroi dans des films ultraminces Pt/Co/Pt à anisotropie perpendiculaire. Dans ces films, nous avons réalisé des nano-pistes lithographiées et irradiées à très faible dose par des ions hélium. Ces dispositifs se sont révélés être des systèmes modèles, idéaux pour étudier la propagation de paroi sous champ, et nous ont paru prometteurs pour l'étude de la propagation induite par transfert de spin. Pourtant, pour toute la gamme des impulsions de courant injectées dans ces pistes, aucun des effets de propagation observés expérimentalement n'a pu être attribué au transfert de spin. Au vu de l'évaluation quantitative du courant et de sa polarisation dans la couche de cobalt, ceci est justifié par un rapport défavorable entre l'échauffement par effet Joule et le transfert de spin.<br /><br />Nous avons également construit un magnétomètre Kerr polaire à haute résolution, utilisant un faisceau laser hautement focalisé, dont la résolution, la stabilité et la sensibilité exceptionnelles sont bien adaptées à l'étude de nanostructures magnétiques ultraminces à anisotropie perpendiculaire, et ce jusqu'à des dimensions largement sub-microniques.<br /><br />Enfin, nous avons étudié le renversement de l'aimantation sous champ magnétique dans un empilement de type jonction tunnel magnétique à anisotropie planaire, destiné au développement industriel de mémoires magnétiques à accès aléatoires. L'effet d'un recuit à haute température sur les propriétés magnétiques de cet empilement a été testé. En outre, dans la couche magnétique douce de la jonction tunnel, soumise à un couplage magnétique dipolaire à travers la barrière tunnel, nous avons mis en évidence une asymétrie des processus de nucléation de domaines et de propagation de parois en fonction du sens de balayage du champ, que nous avons associée à de légères inhomogénéités du champ de couplage dipolaire.
62

eFPGAs : explorations architecturales, integration système, et une enquête visionnaire industriel des technologies programmables

Ahmed, Syed Zahid 22 June 2011 (has links) (PDF)
La thèse s'articule autour du thème des FPGA embarqués(eFPGAs). Ce manuscrit analyse les solutions existantes actuellement et discute les challenges et opportunités de ces technologies; une analyse en profondeur des échecs des tentatives passées est également donnée. Sur la base des solutions existantes dans la littérature, une structure de eFPGA à topologie de type grille est proposée, décrite en langage VHDL RTL. Cette solution comporte également les outils de programmation associés. Sur la base de cette proposition, des explorations sont menées quant à la pertinence des solutions proposées au sens de métriques d'actualité tells que densité logique, performance et consommation. Une des contributions notables de cette thèse repose sur la proposition d'une architecture de switch unifiée éliminant les blocs de connexions ainsi que l'interconnexion locale typique des FPGA actuels(telles que ceux modélisables dans le logiciel VPR) tout en autorisant une bonne routabilité. Toutes les expérimentations ont été menées sur une technologie CMOS 65nm faible puissance du fondeur STMicroelectronics, qui permet de fait d'obtenir des évaluations pertinentes. Une seconde contribution notable repose sur l'exploration de l'intégration de eFPGA dans un contexte système sur puce (SoC). Cette approche repose sur l'adjonction d'un eFPGA au sein d'un système intégré, au côté d'un processeur de type LEON3, la programmation s'effectuant sur la base d'une approche de type ESL. Deux explorations sont ainsi déclinées, comme unité intégrée au sein du processeur et comme coprocesseur. Les résultats présentés permettent ainsi d'analyser sous plusieurs angles les compromis possibles ainsi que les perspectives et limitations de ce type d'approches. Finalement, un cas d'étude est également présenté quant à l'intégration de mémoires de type magnétique (MRAM) au sein-même de l'architecture du eFPGA.
63

Applications des technologies mémoires MRAM appliquées aux processeurs embarqués

Cargnini, Luis Vitorio 12 November 2013 (has links) (PDF)
Le secteur Semi-conducteurs avec l'avènement de fabrication submicroniques coule dessous de 45 nm ont commencé à relever de nouveaux défis pour continuer à évoluer en fonction de la loi de Moore. En ce qui concerne l'adoption généralisée de systèmes embarqués une contrainte majeure est devenu la consommation d'énergie de l'IC. En outre, les technologies de mémoire comme le standard actuel de la technologie de mémoire intégré pour la hiérarchie de la mémoire, la mémoire SRAM, ou le flash pour le stockage non-volatile ont des contraintes complexes extrêmes pour être en mesure de produire des matrices de mémoire aux nœuds technologiques 45 nm ci-dessous. Un important est jusqu'à présent mémoire non volatile n'a pas été adopté dans la hiérarchie mémoire, en raison de sa densité et comme le flash sur la nécessité d'un fonctionnement multi-tension.Ces thèses ont fait, par le travail dans l'objectif de ces contraintes et de fournir quelques réponses. Dans la thèse sera présenté méthodes et les résultats extraits de ces méthodes pour corroborer notre objectif de définir une feuille de route à adopter une nouvelle technologie de mémoire non volatile, de faible puissance, à faible fuite, SEU / MEU-résistant, évolutive et avec similaire le rendement en courant de la SRAM, physiquement équivalente à SRAM, ou encore mieux, avec une densité de surface de 4 à 8 fois la surface d'une cellule SRAM, sans qu'il soit nécessaire de domaine multi-tension comme FLASH. Cette mémoire est la MRAM (mémoire magnétique), selon l'ITRS avec un candidat pour remplacer SRAM dans un proche avenir. MRAM au lieu de stocker une charge, ils stockent l'orientation magnétique fournie par l'orientation de rotation-couple de l'alliage sans la couche dans la MTJ (Magnetic Tunnel Junction). Spin est un état quantical de la matière, que dans certains matériaux métalliques peuvent avoir une orientation ou son couple tension à appliquer un courant polarisé dans le sens de l'orientation du champ souhaitée.Une fois que l'orientation du champ magnétique est réglée, en utilisant un amplificateur de lecture, et un flux de courant à travers la MTJ, l'élément de cellule de mémoire de MRAM, il est possible de mesurer l'orientation compte tenu de la variation de résistance, plus la résistance plus faible au passage de courant, le sens permettra d'identifier un zéro logique, diminuer la résistance de la SA détecte une seule logique. Donc, l'information n'est pas une charge stockée, il s'agit plutôt d'une orientation du champ magnétique, raison pour laquelle il n'est pas affecté par SEU ou MEU due à des particules de haute énergie. En outre, il n'est pas dû à des variations de tensions de modifier le contenu de la cellule de mémoire, le piégeage charges dans une grille flottante.En ce qui concerne la MRAM, cette thèse a par adresse objective sur les aspects suivants: MRAM appliqué à la hiérarchie de la mémoire:- En décrivant l'état actuel de la technique dans la conception et l'utilisation MRAM dans la hiérarchie de mémoire;- En donnant un aperçu d'un mécanisme pour atténuer la latence d'écriture dans MRAM au niveau du cache (Principe de banque de mémoire composite);- En analysant les caractéristiques de puissance d'un système basé sur la MRAM sur Cache L1 et L2, en utilisant un débit d'évaluation dédié- En proposant une méthodologie pour déduire une consommation d'énergie du système et des performances.- Et pour la dernière base dans les banques de mémoire analysant une banque mémoire Composite, une description simple sur la façon de générer une banque de mémoire, avec quelques compromis au pouvoir, mais la latence équivalente à la SRAM, qui maintient des performances similaires.
64

Estudo da densidade de corrente cr?tica para revers?o da magnetiza??o de nanoelementos ferromagn?ticos

Souza, Rafaela Medeiros de 16 March 2015 (has links)
Submitted by Automa??o e Estat?stica (sst@bczm.ufrn.br) on 2016-02-05T22:57:33Z No. of bitstreams: 1 RafaelaMedeirosDeSouza_DISSERT.pdf: 16368490 bytes, checksum: 32187a14cfce1f59c3e74840bc7d851a (MD5) / Approved for entry into archive by Arlan Eloi Leite Silva (eloihistoriador@yahoo.com.br) on 2016-02-16T21:42:19Z (GMT) No. of bitstreams: 1 RafaelaMedeirosDeSouza_DISSERT.pdf: 16368490 bytes, checksum: 32187a14cfce1f59c3e74840bc7d851a (MD5) / Made available in DSpace on 2016-02-16T21:42:19Z (GMT). No. of bitstreams: 1 RafaelaMedeirosDeSouza_DISSERT.pdf: 16368490 bytes, checksum: 32187a14cfce1f59c3e74840bc7d851a (MD5) Previous issue date: 2015-03-16 / Conselho Nacional de Desenvolvimento Cient?fico e Tecnol?gico - CNPq / A descoberta de que uma corrente el?trica ? capaz de exercer um torque em um material ferromagn?tico, atrav?s da transfer?ncia de momento angular de spin, pode proporcionar o desenvolvimento de novos dispositivos tecnol?gicos que armazenam informa??o a partir da dire??o da magnetiza??o. A redu??o da densidade de corrente para revers?o da magnetiza??o ? primordial para potenciais aplica??es em c?lulas de mem?rias magn?ticas de acesso aleat?- rio n?o vol?teis (MRAM). Apresentamos uma investiga??o te?rica dos efeitos de forma e do campo de dipolar na densidade de corrente cr?tica para revers?o da magnetiza??o, via torque por transfer?ncia de spin (STT), em nanoelementos ferromagn?ticos. O sistema nanoestruturado consiste em uma camada de refer?ncia, na qual a corrente ser? polarizada em spin, e uma camada livre de revers?o da magnetiza??o. Observamos consider?veis varia??es na densidade de corrente cr?tica em fun??o da espessura da camada de revers?co ( ? t = 1.0 nm, 1.5 nm, 2.0 nm e 2.5 nm) e da geometria do nanoelemento (circular e el?ptico), do tipo de material que comp?e a camada livre do sistema (Ferro e Permalloy) e de acordo com a orienta??o da magnetiza??o e da polariza??o em spin com o eixo maior. Mostramos que a densidade de corrente cr?tica pode ser reduzida em cerca de 50% diminuindo a espessura da camada livre de Fe e em 75% ao modificar a magnetiza??o de satura??o de nanoelementos circulares com 2.5 nm de espessura. Observamos, ainda, uma redu??o de at? 90% na densidade de corrente de revers?o para nanoelementos ultrafinos magnetizados ao longo da dire??o do eixo menor, usando a polariza??o no plano paralela ? magnetiza??o. / The discovery that a spin-polarized current is capable of exerting a torque in a ferromagnetic material, through spin transfer, might provide the development of new technological devices that store information via the direction of magnetization. The reduction of current density to revert the magnetization is a primary issue to potential applications on non volatile random access memories (MRAM). We report a theorical study of the dipolar and shape effects on the critical current density for reversal of magnetization, via spin transfer torque (STT), on ferromagnetic nanoelements. The nanostructured system consists on a reference layer, in which the current will be spin-polarized, and a free layer of magnetization reversal. We observed considerable changes on the critical current density as a function of the element?s reversion layer thickness (t = 1.0 nm, 1.5 nm, 2.0 nm e 2.5 nm) and geometry (circular and elliptical), the material kind of the system free layer (Iron and Permalloy) and according to the orientation of the magnetization and the spin polarization with the major axis. We show that the critical current density may be reduced about 50% by reducing the Fe free layer thickness and around 75% when we change the saturation magnetization of circular nanoelements with 2.5 nm of thickness. We still observed a reduction as much as 90% on the current density of reversion for thin nanoelements magnetized along the minor axis direction, using in-plane spin polarization parallel to the magnetization.
65

Workload Driven Designs for Cost-Effective Non-Volatile Memory Hierarchies

Timothy A Pritchett (9179468) 28 July 2020 (has links)
Compared to traditional hard-disk drives (HDDs), non-volatile (NV) memory technologies offer significant performance advantages on one hand, but also incur significant cost and asymmetric write-performance on the other. A common strategy to manage such cost- and performance-differentials is to use hierarchies such that a small, but intensely accessed, working set is staged in the NV storage (selective caching). However, when this working set includes write-heavy data, the low write-lifetime of NV storage necessitates significant over-provisioning to maintain required lifespans (e.g., storage lifespan must match or exceed 3 year server lifespan). One may think that employing DRAM-based write-buffers can filter writes that trickle through to the NV storage and thus alleviate the write-pressure felt at the NV storage. Unfortunately, selective caches, when used with common recency-based or frequency-based replacement, have access patterns that require large write buffers (e.g., 100MB+ relative to a 12GB cache) to filter writes adequately. Further, these large DRAM write-buffers also require backup-power to ensure the durability of disk writes. More sophisticated replacement policies that combine recency and frequency can reduce the size of the DRAM buffer (while preserving write-filtering), but are so computationally-expensive that they can limit the I/O rate, especially for simple controllers (e.g., RAID controller). <br>My first contribution is the design and implementation of WriteGuard– a self-tuning sieving write-buffer algorithm that filters writes as well as the highly-effective (but computationally-expensive) algorithms while requiring lightweight computation comparable to a simple LRU-based write-buffer. While WriteGuard reduces the capacity needed for DRAM buffering (to approx. 64 MB), it does not eliminate the need for DRAM buffers (and corresponding power backup).<br>For my second thrust, I identify two specific application characteristics – (1) the vast majority of the write-buffer’s contents is composed of write-dominant blocks, and (2) the vast majority of blocks in the write-buffer are overwritten within a period of 28 hours. I show that these characteristics help enable a high-density, optimized STT-MRAM as a replacement for DRAM, which enables durable write-buffers (thus eliminating the cost of power backup for the write-buffer). My optimized STT-MRAM-based write buffer achieves higher density by (a) trading off superfluous durability by exploiting characteristic (2), and (b) deoptimizing the read-performance of STT-MRAM by leveraging characteristic (1). Together, the techniques increase the density of STT-MRAM by 20% with low or no impact on write-buffer performance.<br>
66

Memristors for Neuromorphic Logic

Petropoulos, Dimitrios Petros January 2022 (has links)
Novel devices are being investigated as artificial synapse candidates for neuromorphic computing. These memory devices share the characteristics of an electronic element called memristor. The memristor can be regarded as a resistor with a history dependent resistance, which mimics the plasticity of a biological synapse. The present work presents various types of candidate devices that have been proposed in neuromorphic research, describes how they mimic a biological synapse and how they can be employed in artificial neuron network architectures.
67

Device-Circuit Co-Design Employing Phase Transition Materials for Low Power Electronics

Ahmedullah Aziz (7025126) 12 August 2019 (has links)
<div> <div> <p>Phase transition materials (PTM) have garnered immense interest in concurrent post-CMOS electronics, due to their unique properties such as - electrically driven abrupt resistance switching, hysteresis, and high selectivity. The phase transitions can be attributed to diverse material-specific phenomena, including- correlated electrons, filamentary ion diffusion, and dimerization. In this research, we explore the application space for these materials through extensive device-circuit co-design and propose new ideas harnessing their unique electrical properties. The abrupt transitions and high selectivity of PTMs enable steep (< 60 mV/decade) switching characteristics in Hyper-FET, a promising post-CMOS transistor. We explore device-circuit co-design methodology for Hyper-FET and identify the criterion for material down-selection. We evaluate the achievable voltage swing, energy-delay trade-off, and noise response for this novel device. In addition to the application in low power logic device, PTMs can actively facilitate non-volatile memory design. We propose a PTM augmented Spin Transfer Torque (STT) MRAM that utilizes selective phase transitions to boost the sense margin and stability of stored data, simultaneously. We show that such selective transitions can also be used to improve other MRAM designs with separate read/write paths, avoiding the possibility of read-write conflicts. Further, we analyze the application of PTMs as selectors in cross-point memories. We establish a general simulation framework for cross-point memory array with PTM based <i>selector</i>. We explore the biasing constraints, develop detailed design methodology, and deduce figures of merit for PTM selectors. We also develop a computationally efficient compact model to estimate the leakage through the sneak paths in a cross-point array. Subsequently, we present a new sense amplifier design utilizing PTM, which offers built-in tunable reference with low power and area demand. Finally, we show that the hysteretic characteristics of unipolar PTMs can be utilized to achieve highly efficient rectification. We validate the idea by demonstrating significant design improvements in a <i>Cockcroft-Walton Multiplier, </i>implemented with TS based rectifiers. We emphasize the need to explore other PTMs with high endurance, thermal stability, and faster switching to enable many more innovative applications in the future.</p></div></div>
68

Apport de la microscopie électronique en transmission à l'étude des mémoires non volatiles de nouvelle génération

Demolliens, Antoine 18 December 2009 (has links) (PDF)
Les progrès de la microélectronique imposent de faire évoluer les mémoires vers des dispositifs rapide et à haute densité d'intégration. Cependant, l'obtention de produits fiables passe en premier lieu par le développement des procédés de fabrication, la compréhension des problèmes de fiabilité et l'analyse physique de défaillances. Les travaux réalises durant cette thèse portent ainsi sur l'analyse de défauts et la caractérisation physique de cellules mémoires par microscopie électronique en transmission. Quatre thèmes de recherche ont été abordés. Le premier porte sur l'étude des dégradations microstructurales de cellules EEPROM produites par la société STMicroelectronics après sollicitations électriques et thermiques. Ensuite, l'architecture innovante SQeRAM, développée par STMicroelectronics, a été caractérisée, le but étant d'appréhender la microstructure des zones de stockage de charges, et de comprendre l'origine physique des performances en rétention de ces dispositifs. Une collaboration avec Crocus Technology nous a permis ensuite de participer au développement des procédés de fabrication d'une nouvelle génération de mémoires magnétorésistives (TA-MRAM). Ici, la microstructure de différents empilements magnétiques constituant les éléments de mémorisation de ces dispositifs a été caractérisée. Enfin, le dernier axe de recherche abordé concerne une nouvelle génération de mémoires macromoléculaires non volatiles à commutation de résistance basée sur le complexe organométallique CuTCNQ et sa croissance dans des structures d'interconnexion a été étudiée selon divers procédés développés à l'IMEC et à l'Université technique d'Aachen
69

Etude de l'origine des couples magnétiques induits par le couplage spin orbite dans des structures asymétriques à base de Co/Pt / Study of current induced spin orbit torques origin in cobalt-platinum based heterostructures.

Drouard, Marc 01 December 2014 (has links)
Afin de réduire la consommation de puissance des futures générations de systèmesélectroniques, une solution est d’intégrer de la non-volatilité au sein même des cellulesmémoires. Dans cette optique, l’utilisation du retournement de l’aimantation d’un matériauferromagnétique comme support de l’information a été utilisée initialement dans un conceptde mémoire, la MRAM. La dernière évolution de cette technologie, la SOT-RAM, utilise desphénomènes nouveaux appelés SOTs afin de contrôler la direction de l’aimantation. Parrapport aux générations précédentes (STT-MRAM notamment), elle devrait permettred’améliorer la vitesse d’écriture en conservant une endurance adaptée pour des utilisations enmémoires cache où en mémoire centrale. Le terme SOTs est une dénomination généraledésignant l’ensemble des effets, encore mal connus, liés au couplage spin-orbite et permettantle retournement de l’aimantation d’une cellule mémoire.Ce travail de thèse a eu pour objectif d’étudier les SOTs via un système expérimental demesure quasi-statique basé sur les effets Hall extraordinaires et planaires. Sonimplémentation et la méthode d’analyse associée, ainsi que les considérations théoriquesnécessaires à l’interprétation des résultats sont détaillées dans ce manuscrit. Il a été montréque le retournement de l’aimantation dans des systèmes à aimantation perpendiculaire à basede cobalt-platine ne peut être expliqué par les modèles simples considérés jusqu’à présentdans la littérature. En effet, il a été mis en évidence qu’au moins deux effets simultanés doiventêtre pris en compte pour expliquer les phénomènes observés. Par ailleurs, ceux-ci présententune sensibilité différente à la fois à une altération de la structure cristalline et à une variationde température. / In order to reduce power consumption in next generations’ electronic devices, one potentialsolution is to implement non-volatility in memory cells. In this goal, the magnetizationswitching of a ferromagnetic material has been used in a memory concept: the MRAM. Thelatest development of this technology, called SOT-RAM, is based on new phenomena calledSOTs (Spin-Orbit Torques) in order to control magnetization direction. Contrary to precedentgenerations (STT-MRAM), it should achieve a higher operating speed and an enduranceadapted for cache and main memories applications. SOTs is a generic term referring to all theeffects, linked to the spin-orbit interaction, and that enable magnetization reversal. They areyet not perfectly understood.The main objective of this Ph.D. was then to study these SOTs through a quasi-staticexperimental measurement setup based on anomalous and planar Hall effects. Itsimplementation and the associated analysis method, as well as the required theoreticalconsiderations for data interpretation are detailed in this manuscript. It has been highlightedthat magnetization switching in perpendicularly magnetization cobalt-platinum systemscannot be explained by the simple models considered thus far in the literature. As a matter offact it has been evidenced that at least two effects have to be considered in order to explainobserved phenomena. In addition, they present different susceptibility both to a modificationof the crystal structure and to a temperature change.
70

Záznamového zařízení pro oblast civilního letectví / Data storage system for area of civil aviation

Kotulič, Dominik January 2018 (has links)
In the thesis the design of the Data Storage System (DSS) is proposed with the respect to the V-Model methodology. The design is based on users requirements, from which the system requirements are created and the technical specification of the DSS is developed. In the technical specifications the functionality of the DMM and HMI DSS subsystems are described and sub-system requirements are assigned to them, then they are subdivided and assigned to individual DMM (Data memory module) and HMI hardware items. Moreover, requirements are analyzed on hardware items, specific electronic components, are selected and implemented into the block design of the DMM hardware. Based on the block design of hardware, the hardware of the DMM subsystem is designed, selectively simulated and implemented along with the printed circuit board. On the implemented hardware of the DMM subsystems measurements are performed in order to verify the basic functionality of the hardware and the calculated, assimilated and measured values are compared as well. At the end of the thesis there is a short description of the implementation of the software design and its use for basic initialization of the selected processor, together with the verification of its basic function - measuring the frequency of the internal clock sources and the clock domains. The work is completed by sending a message of defined parameters to the selected communication line and sapling it by an oscilloscope, so that the basic function of the DMM subsystem is verified.

Page generated in 0.0805 seconds