• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 12
  • 4
  • 1
  • Tagged with
  • 17
  • 17
  • 8
  • 8
  • 5
  • 5
  • 5
  • 4
  • 4
  • 4
  • 3
  • 3
  • 3
  • 3
  • 3
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
11

Functional investigation of the efflux pump MexA–MexB-OprM of Pseudomonas aeruginosa / Etude fonctionnelle de la pompe d’efflux MexA-MexB-OprM de Pseudomonas aeruginosa

Verchère, Alice 27 November 2014 (has links)
L’efflux actif, qui permet aux bactéries d’exporter les antibiotiques vers le milieu extérieur est l’un des mécanismes majeurs de résistance aux antibiotiques. L’une des pompes d’efflux de Pseudomonas aeruginosa, MexA-MexB-OprM, est constituée de trois protéines : i) MexA, une protéine membranaire de fusion qui se trouve dans le périplasme ; ii) MexB qui se trouve dans la membrane interne et qui reconnaît l’antibiotique et initie son transport grâce à la force protomotrice et iii) OprM un canal qui se trouve dans la membrane externe. Durant ma thèse, j’ai mis au point un test fonctionnel pour MexA et MexB. Ce test est basé sur la coreconstitution de ces protéines avec la bactériorhodopsine, une protéine membranaire qui génère un gradient de proton après activation par la lumière. L’activité de MexB est suivie de manière indirecte via la mesure du pH. En mesurant le pH à l’intérieur des liposomes, on peut connaître l’activité de MexB puisque ce dernier utilise la force protomotrice pour transporter ses substrats. Une mesure fiable du pH peut être obtenue grâce à la pyranine dont la fluorescence varie avec le pH. Grâce à ce test, j’ai prouvé que MexB possède une activité basale qui ne dépend pas de la présence de substrat et que l’activité de MexB devient optimale quand cette dernière est reconstituée en présence de MexA. Dans un deuxième temps, j’ai mis au point un test fonctionnel pour la pompe d’efflux entière. Pour cela, je prépare deux types distincts de protéoliposomes. Dans le premier type de liposome, j’encapsule de la pyranine, (pour suivre l’activité de MexB) et un substrat de MexB qui est un agent intercalant de l’ARN. Ce substrat est faiblement fluorescent dans un environnement aqueux et fortement fluorescent lorsqu’il est intercalé dans l'ARN. MexB et MexA sont reconstitués dans ces liposomes. Dans le deuxième type de liposomes, je reconstitue OprM et j’encapsule de l’ARN. Ces deux types de liposomes sont alors mélangés. Lorsque la pompe s’assemble et qu’il y a un transport actif à travers cette dernière, deux phénomènes sont observés: la diminution de la fluorescence de la pyranine (car MexB fait entrer des protons dans le premier type de liposome pour transporter le substrat) et l’augmentation de la fluorescence du substrat car ce dernier s’intercale dans l’ARN se trouvant dans le deuxième type de liposome. En mélangeant les deux types de liposomes, j’obtiens une preuve de la reconstitution in vitro de la pompe entière et j’ai mis en évidence qu’OprM s’ouvre en présence de MexA et MexB et que sa présence augmente l’activité de MexB. / Among the various mechanisms developed by the bacteria to counter to the effect of antibiotics, active efflux is on the front line. In Pseudomonas aeruginosa, a Gram negative bacteria, efflux transporters are organized as multicomponent systems where MexB, the pump located in the inner membrane, works in conjunction with MexA, a periplasmic protein, and OprM, an outer membrane protein. MexB is a proton motive force-dependent pump with broad substrate specificity. During my PhD, I have designed an original activity assay for MexB and MexA. The pump is coreconstituted into proteoliposomes together with bacteriorhodopsin (BR), a light-activated proton pump. In this system, upon illumination with visible light, the photo-induced proton gradient created by the BR is shown to be coupled to the active transport of substrates through the pump. The activity of MexB is monitored indirectly. Since MexB uses the protomotive force to transport antibiotics, one can determine substrate transport though MexB by monitoring the pH inside the liposomes. For that purpose, pyranine, a fluorescent probe whose fluorescence yield increases with increasing pH, is encapsulated inside the liposomes. This test makes the investigation of the pump possible. In the absence of MexA, MexB has a basal activity which is not substrate-dependent. Once MexB is reconstituted together with MexA, its activity is specific and substrate-dependent. Then I worked on the reconstitution of the whole efflux pump. For this, I prepare two different kinds of liposomes: i) Liposomes with reconstituted MexA and MexB in which pyranine and a nucleic acid intercalating agent are encapsulated, ii) Liposomes with reconstituted OprM and encapsulated RNA. The activity of MexB is monitored thanks to the addition of EthB, a substrate of MexB, that is poorly fluorescent in aqueous medium and highly fluorescent when intercalated into RNA. Upon generation of a pH gradient, I observe two concomitant phenomena: the decrease of pyranine fluorescence, as MexB is using protons to transport the substrate, and the increase of the fluorescence of the RNA intercalating agent as a result of its interaction with RNA. I have successfully assembled the efflux pump and monitored transport through it from one liposome to the other. I have demonstrated that OprM needs to interact with MexA and MexB in order to open and that MexB activity is accelerated when the pump is assembled.
12

Development of a functional assay for CHD7, a protein involved in CHARGE syndrome / Mise au point d'un test fonctionnel pour la protéine CHD7 impliquée dans le syndrome CHARGE

Brajadenta, Gara Samara 14 June 2019 (has links)
Le syndrome CHARGE (CS) est une maladie génétique rare caractérisée par de nombreuses anomalies congénitales, majoritairement causées par des altérations de novo du gène CHD7. Celui-ci code pour une protéine à chromodomaines, impliquée dans le remodelage ATP-dépendant de la chromatine. La grande majorité des altérations de CHD7 consiste en allèles nuls tels que des délétions, des substitutions non-sens ou des décalages du cadre de lecture. Nous avons réalisé le premier diagnostic moléculaire d’un patient Indonésien atteint du CS, en étudiant un panel de gènes (CHD7, EFTUD2, et HOXA1) par NGS (next-generation sequencing). Nous avons identifié une nouvelle mutation non-sens hétérozygote dans l’exon 34 du gène CHD7 (c.7234G>T ou p.Glu2412Ter). Par ailleurs, il n'existe pas d’analyse fonctionnelle qui permettrait de caractériser la pathogénicité des variants de la protéine CHD7 rencontrés chez des patients. C’est pourquoi l’objectif de ce travail est de mettre au point un test fonctionnel de la protéine CHD7, sous forme sauvage ou mutée. Pour cela, nous avons généré par mutagénèse dirigée des vecteurs codant pour trois variants faux-sens de CHD7 et le variant présentant une insertion de cinq acides aminés. Ensuite, les protéines CHD7, sous forme sauvage ou variante, ont été surexprimées dans la lignée HeLa. L’expression des protéines a été mise en évidence par western blot et par immunofluorescence. Pour étudier la fonctionnalité de CHD7, nous avons quantifié par RT-qPCR les transcrits de cinq gènes (l’ADNr 45S, SOX4, SOX10, MYRF, et ID2), dont la transcription est selon le littérature régulée par CHD7. Nous avons observé que l’expression de CHD7 sauvage entraînait une diminution significative et reproductible des quantités de transcrits correspondant à tous les gènes rapporteurs. Par contre, l’expression des quatre allèles variants de CHD7 n’avait aucun impact, ce qui suggère que ces variants ne sont pas fonctionnels. Par ailleurs, nous avons appliqué notre test biologique dans des cellules de la lignée SH-SY5Y, pour lesquelles nous avons introduit une mutation faux-sens dans le génome en utilisant la technique CRISPR/Cas9. Lorsque ce variant était exprimé, les niveaux de transcription des cinq gènes rapporteurs n’étaient pas significativement différents de ceux observés dans les cellules où les deux allèles de CHD7 avaient été invalidés. Par conséquent, les variants étudiés peuvent être répertoriés comme résultant de mutations causales du CS. / CHARGE syndrome (CS) is a rare genetic disease characterized by numerous congenital abnormalities, mainly caused by de novo alterations of the CHD7 gene. It encodes a chromodomain protein, involved in the ATP-dependent remodeling of chromatin. The vast majority of CHD7 alterations consists in null alleles like deletions, non-sense substitutions or frameshift-causing variations. We report the first molecular diagnosis of an Indonesian CS patient by a targeted NGS (next-generation sequencing) gene panel (CHD7, EFTUD2, and HOXA1). We identified a novel heterozygous nonsense mutation in exon 34 of CHD7 (c.7234G>T or p.Glu2412Ter). Functional analyses to confirm the pathogenicity of CHD7 variants are lacking and urgently needed. Therefore, the aim of this study was to establish a functional test for wild-type (WT) or variants of CHD7 protein found in CS patients. Using an expression vector encoding CHD7, three variants harboring an amino acid substitution and one variant with a five-amino acid insertion were generated via site-directed mutagenesis. Then CHD7 proteins, either wild-type (WT) or variants, were overexpressed in HeLa cell line. Protein expression was highlighted by western blot and immunofluorescence. We then used real-time RT-PCR to study CHD7 functionality by evaluating the transcript amounts of five genes whose expression is regulated by CHD7 according to the literature. These reporter genes are 45S rDNA, SOX4, SOX10, ID2, and MYRF. We observed that, upon WT-CHD7 expression, the reporter gene transcriptions were downregulated, whereas the four variant alleles of CHD7 had no impact. This suggests that these alleles are not polymorphisms because the variant proteins appeared non-functional. Furthermore, we applied our biological assay in SH-SY5Y cell line in which endogenous CHD7 gene was mutated using the CRISPR/Cas9 technique. Then, we observed that when a CHD7 missense variant was expressed, the transcription levels of the five reporter genes were non-significantly different, compared with the cells in which both CHD7 alleles were knocked-out. Therefore, the studied variants can be considered as disease-causing of CS.
13

Amélioration des solutions de test fonctionnel et structurel des circuits intégrés / Improving Functional and Structural Test Solutions for Integrated Circuits

Touati, Aymen 21 October 2016 (has links)
Compte tenu de la complexité des circuits intégrés de nos jours et des nœuds technologiques qui ne cessent pas de diminuer, être au rendez-vous avec les demandes de design, test et fabrication des dispositifs de haute qualité est devenu un des plus grands défis. Avoir des circuits intégrés de plus en plus performants devrait être atteint tout en respectant les contraintes de basse consommation, de niveaux de fiabilité demandés, de taux de défauts acceptables ainsi que du bas coût. Avec ce fascinant progrès de l’industrie des semi-conducteurs, les processus de fabrication sont devenus de plus en plus difficile à contrôler, ce qui rend les puces électroniques de nos jours plus disposés aux défauts physiques. Le test était et restera l’unique solution pour lutter contre l’occurrence des défauts de fabrication ; même il est devenu un facteur prédominant dans le coût totale de fabrication des circuits intégrés. Même si des solutions de test, qui existent déjà, étaient capables de satisfaire ce fameux compromis coût-qualité ces dernières années, il arrive d’observer encore des mécanismes de défauts malheureusement incontrôlables. Certains sont intrinsèquement reliés au processus de fabrication en lui-même. D’autres reviennent sans doute aux pratiques de test et surtout quand on analyse le taux de défauts détectés et le niveau de fiabilité atteint.L’objectif principal de cette thèse est d’implémenter des stratégies de test robustes et efficaces qui répondent aux lacunes des techniques de tests classiques et qui proposent des modèles de fautes plus réalistes et répondent au mieux aux attentes des fournisseurs. Dans l’objectif d’améliorer l’efficacité de test en termes de coût, capacité de couverture de faute, nous présentons divers contributions significatives qui touchent différents domaines entre-autres le test sur le terrain, les tests à hautes fréquences sous contraintes de puissance et finalement le test des chaines de scan.La partie majeure de cette thèse était consacrée pour le développement de nouvelles techniques de tests fonctionnels ciblant les systèmes à processeurs.Les méthodologies appliquées couvrent les problèmes de test sur terrain aussi bien que les problèmes de test de fabrication. Dans le premier cas, la techniques adoptée consiste à fusionner et compacter un ensemble initial de programmes fonctionnels afin d’atteindre une couverture de faute satisfaisante tout en respectant les contraintes du test sur terrain (temps de test réduit et ressource mémoire limitée). Cependant dans le deuxième cas, comme nous avons assez d’informations sur la structure du design, nous proposons un nouveau protocole de test qui va exploiter l’architecture de test existante. Dans ce contexte, nous avons validé et confirmé la relation complémentaire qui joint le test fonctionnel avec le test structurel. D’autres part, cette prometteuse approche assure un test qui respecte les limites de la consommation fonctionnelle et donc une fiabilité meilleure.La dernière contribution de cette thèse accorde toute l’attention à l’amélioration de test de la structure DFT « Design For Test » la plus utilisée qui est la chaîne de scan. Nous présentons dans cette contribution une approche de test qui cible les défauts physiques au sein de la cellule en elle-même.Cette approche représente une couverture de défauts meilleure et une longueur de test plus réduit si nous la comparons avec l’ATPG classique ciblant les mêmes défauts « Intra-cell defect ATPG ».Comme résultat majeur de cette efficace solution de test, nous avons observé une amélioration de 7.22% de couverture de défaut accompagné d’une réduction de 33.5% du temps de test en comparaison avec la couverture et le temps du test atteints par le « Cell-awer ATPG ». / In light of the aggressive scaling and increasing complexity of digital circuits, meeting the demands for designing, testing and fabricating high quality devices is extremely challenging.Higher performance of integrated circuits needs to be achieved while respecting the constraints of low power consumption, required reliability levels, acceptable defect rates and low cost. With these advances in the SC industry, the manufacturing process are becoming more and more difficult to control, making chips more prone to defects.Test was and still is the unique solution to cover manufacturing defects; it is becoming a dominant factor in overall manufacturing cost.Even if existing test solutions were able to satisfy the cost-reliability trade-off in the last decade, there are still uncontrolled failure mechanisms. Some of them are intrinsically related to the manufacturing process and some others belong to the test practices especially when we consider the amount of detected defects and achieved reliability.The main goal of this thesis is to implement robust and effective test strategies to complement the existing test techniques and cope with the issues of test practices and fault models. With the objective to further improve the test efficiency in terms of cost and fault coverage capability, we present significant contributions in the diverse areas of in-field test, power-aware at-speed test and finally scan-chain testing.A big part of this thesis was devoted to develop new functional test techniques for processor-based systems. The applied methodologies cover both in-field and end-of manufacturing test issues. In the farmer, the implemented test technique is based on merging and compacting an initial functional program set in order to achieve higher fault coverage while reducing the test time and the memory occupation. However in the latter, since we already have the structure information of the design, we propose to develop a new test scheme by exploiting the existing scan chain. In this case we validate the complementary relationship between functional and structural testing while avoiding over as well under-testing issues.The last contribution of this thesis deals with the test improvement of the most used DFT structure that is the scan chain. We present in this contribution an intra-cell aware testing approach showing higher intra-cell defect coverage and lower test length when compared to conventional cell-aware ATPG. As major results of this effective test solution, we show that an intra-cell defect coverage increase of up to 7.22% and test time decrease of up to 33.5 % can be achieved in comparison with cell-aware ATPG.
14

Test en ligne du microprocesseur MC 68000‎ : modélisation et programmes de test

Marchal, Pierre 05 July 1983 (has links) (PDF)
Présentation et discussion des développements vers diverses améliorations possibles du temps de détection, obtenues par le biais de modification du circuit. Un test hors ligne peut être dérive directement par le regroupement de toutes les procédures de test.
15

Optimisation du test de production de circuits analogiques et RF par des techniques de modélisation statistique

Akkouche, Nourredine 09 September 2011 (has links) (PDF)
La part dû au test dans le coût de conception et de fabrication des circuits intégrés ne cesse de croître, d'où la nécessité d'optimiser cette étape devenue incontournable. Dans cette thèse, de nouvelles méthodes d'ordonnancement et de réduction du nombre de tests à effectuer sont proposées. La solution est un ordre des tests permettant de détecter au plus tôt les circuits défectueux, qui pourra aussi être utilisé pour éliminer les tests redondants. Ces méthodes de test sont basées sur la modélisation statistique du circuit sous test. Cette modélisation inclus plusieurs modèles paramétriques et non paramétrique permettant de s'adapté à tous les types de circuit. Une fois le modèle validé, les méthodes de test proposées génèrent un grand échantillon contenant des circuits défectueux. Ces derniers permettent une meilleure estimation des métriques de test, en particulier le taux de défauts. Sur la base de cette erreur, un ordonnancement des tests est construit en maximisant la détection des circuits défectueux au plus tôt. Avec peu de tests, la méthode de sélection et d'évaluation est utilisée pour obtenir l'ordre optimal des tests. Toutefois, avec des circuits contenant un grand nombre de tests, des heuristiques comme la méthode de décomposition, les algorithmes génétiques ou les méthodes de la recherche flottante sont utilisées pour approcher la solution optimale.
16

Optimisation du test de production de circuits analogiques et RF par des techniques de modélisation statistique / Optimisation of the production test of analog and RF circuit using statistical modeling techniques

Akkouche, Nourredine 09 September 2011 (has links)
La part dû au test dans le coût de conception et de fabrication des circuits intégrés ne cesse de croître, d'où la nécessité d'optimiser cette étape devenue incontournable. Dans cette thèse, de nouvelles méthodes d'ordonnancement et de réduction du nombre de tests à effectuer sont proposées. La solution est un ordre des tests permettant de détecter au plus tôt les circuits défectueux, qui pourra aussi être utilisé pour éliminer les tests redondants. Ces méthodes de test sont basées sur la modélisation statistique du circuit sous test. Cette modélisation inclus plusieurs modèles paramétriques et non paramétrique permettant de s'adapté à tous les types de circuit. Une fois le modèle validé, les méthodes de test proposées génèrent un grand échantillon contenant des circuits défectueux. Ces derniers permettent une meilleure estimation des métriques de test, en particulier le taux de défauts. Sur la base de cette erreur, un ordonnancement des tests est construit en maximisant la détection des circuits défectueux au plus tôt. Avec peu de tests, la méthode de sélection et d'évaluation est utilisée pour obtenir l'ordre optimal des tests. Toutefois, avec des circuits contenant un grand nombre de tests, des heuristiques comme la méthode de décomposition, les algorithmes génétiques ou les méthodes de la recherche flottante sont utilisées pour approcher la solution optimale. / The share of test in the cost of design and manufacture of integrated circuits continues to grow, hence the need to optimize this step. In this thesis, new methods of test scheduling and reducing the number of tests are proposed. The solution is a sequence of tests for early identification of faulty circuits, which can also be used to eliminate redundant tests. These test methods are based on statistical modeling of the circuit under test. This model included several parametric and non-parametric models to adapt to all types of circuit. Once the model is validated, the suggested test methods generate a large sample containing defective circuits. These allow a better estimation of test metrics, particularly the defect level. Based on this error, a test scheduling is constructed by maximizing the detection of faulty circuits. With few tests, the Branch and Bound method is used to obtain the optimal order of tests. However, with circuits containing a large number of tests, heuristics such as decomposition method, genetic algorithms or floating search methods are used to approach the optimal solution.
17

Récupération après reconstruction du ligament croisé antérieur et prévention des ruptures : étude biomécanique d'un mouvement pluri-articulaire / Recovery after anterior cruciate ligament reconstruction and injury prevention : biomechanic study of multi-joint movement

Pairot de Fontenay, Benoît 22 October 2014 (has links)
La reconstruction chirurgicale est le traitement préconisé après rupture du Ligament Croisé Antérieur (LCA) chez les patients sportifs. Cette opération est suivie d'une longue période de rééducation et les résultats en termes de reprise du sport et de récidive ne sont pas totalement satisfaisants. Ainsi ce travail s'articule autour de deux objectifs : l'amélioration de la récupération après lésion du LCA et la prévention des primo-ruptures. Dans un premier temps, nous avons évalué les altérations cinématiques et dynamiques présentes chez les patients, lors d'un mouvement de saut, 7 mois après reconstruction du LCA. Les études conduites mettent en évidence des performances plus faibles et des asymétries plus importantes chez les patients, notamment pour le travail mécanique articulaire total. La jambe opérée présente des déficits au niveau du genou, de la hanche et de la cheville sur des paramètres tels que les amplitudes articulaires, les moments et puissances articulaires. Des altérations ont également été retrouvées sur la jambe non-opérée. Elles se traduisent par une modification des angles de la cheville, du genou, et un plus faible moment articulaire total. Dans un deuxième temps, nous nous sommes intéressés aux possibilités de prévention des ruptures du LCA chez les athlètes féminines. Nos résultats démontrent qu'il est possible de détecter et de diminuer les facteurs de risque neuromusculaires, dont le « valgus dynamique », tout en augmentant la performance de saut chez de jeunes basketteuses. En conclusion, l'amélioration des résultats après lésion du LCA requiert, dans le protocole de rééducation et le suivi des patients, une attention particulière à la qualité d'exécution des mouvements pluriarticulaires, sur chacun des deux membres inférieurs. En parallèle, la détection et la prise en charge des facteurs de risque neuromusculaires devraient être systématisées dans les pratiques ou les publics à risque afin de prévenir les ruptures du LCA / Surgical reconstruction is the common treatment after Anterior Cruciate Ligament (ACL) injury in athletes. It usually requires a long period of rehabilitation and the results in terms of return to sport and re-injury rates are not fully satisfactory. The present work has two aims: the enhancement of recovery after ACL tear and the prevention of initial injury. Firstly, we assessed the kinematic and kinetic alterations during a jump task in patients 7 months after ACL reconstruction. Our findings highlight both a lower jumping performance, and greater asymmetries in patients, particularly considering the total joint mechanical work. The operated leg presents deficits at the knee, hip and ankle joints on parameters such as joint range of motion, joint moments and powers. In addition, changes are also found on the non-operated leg, characterized by a modification of the knee and ankle joint angles, and by a lower total joint moment. Secondly, we focused on ACL injury prevention in female athletes. We determinate a way to detect and reduce the neuromuscular risk factors, including “dynamic valgus”, while increasing jumping performance in young basketball female players. In conclusion, maximizing the recovery after ACL tear requires, in the rehabilitation protocol and the patients follow-up, a particular emphasis on the quality of execution of multi-joint task, on each of both lower limbs. Moreover, the detection and management of the neuromuscular risk factors should be systematized in sports or public at risk in order to prevent ACL injury

Page generated in 0.0932 seconds