• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 32
  • 25
  • 3
  • Tagged with
  • 58
  • 22
  • 11
  • 8
  • 8
  • 7
  • 7
  • 7
  • 7
  • 7
  • 6
  • 6
  • 6
  • 6
  • 6
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
11

Electrical characterization of fully depleted SOI devices based on C-V measurements / Caractérisation électrique des dispositifs FDSOI établie par mesures C-V

Mohamad, Blend 30 May 2017 (has links)
Les technologies de films minces sur isolant apparaissent comme des solutions fiables pour la nano électronique. Elles permettent de dépasser les limites des technologies sur substrat silicium massif, en autorisant de faibles tensions d’utilisation et un gain en énergie significatif. En effet, les transistors à semi-conducteurs à grille métallique (MOSFET) avec un substrat totalement déplété (FDSOI) conduisent à des courants de fuites faible et améliorent la variabilité ce qui permet de diminuer les tensions d’alimentation en particulier pour les applications SRAM. A partir du nœud 14 nm, les transistors peuvent intégrer un canal SiGe, le diélectrique high-k et la grille métallique. Tous ces nouveaux modules de procédés technologiques rendent l’analyse électrique des transistors MOS ainsi que sa corrélation avec la technologie plus compliquées. Ce travail de thèse propose plusieurs nouvelles méthodologies d’extraction automatique et statistique de paramètres pour les empilements MOS FDSOI avancées. Ces méthodologies sont toutes basées sur des mesures de capacité par rapport à la tension (C-V) rendant compte du couplage capacitif entre grille métallique, canal et substrat face arrière. Avec de telles caractéristiques C-V, des méthodologies fiables sont proposées pour l’épaisseur d’oxyde de grille équivalente (EOT), le travail effectif de la grille métallique FDSOI (WFeff), ainsi que d’autres paramètres comme les épaisseurs du canal (tch) et de l’oxyde enterré (tbox) ainsi que l’affinité électronique efficace (Xeff) du substrat face arrière qui inclut les différents effets électrostatique à l’œuvre dans l’oxyde enterré et à ses interfaces. Ces différentes méthodologies ont été validées par des simulations quantiques. La force de l’analyse expérimentale a été de contrôler la cohérence des extractions obtenues sur tout un ensemble de transistors MOS obtenus à partir de variation sur les différentes briques de base et de contrôler la cohérence des paramètres extraits. / .Thin film technologies appear as reliable solutions for Nano electronics to go beyond bulk silicon technology limits, allowing lower power bias and thus energy harvesting. Indeed, Metal Oxide Semiconductors transistors (MOSFETs) with fully depleted substrate (FDSOI for ’Fully Depleted Silicon On Insulator’) allow low static off-currents and variability improvement that enable the use of power supply biases lower than with bulk silicon, especially for SRAMs. From 14nm nodes, FDSOI generations are including SiGe channel, high-k dielectric and metal gate. All these new process modules required for technology improvement also significantly increase the complexity of the MOS devices electrical analysis and meanwhile its correlation with technology. This PhD study propose different novel methodologies for automatic and statistical parameter extraction of advanced FDSOI MOS gate stack. These methodologies are all based on capacitance versus voltage (C-V) characteristics, obtained for the capacitive coupling between metal gate, channel and back side. With such C-V characteristics, reliable methodologies are proposed, leading to the extractions of the equivalent oxide thicknesses (EOT), the effective work function of the FDSOI metal gate (WFeff), but also other parameters such as channel and buried oxide thicknesses (tch, tbox) and an effective electron affinity of the substrate well (Xeff) that includes all electrostatic effects in the buried oxide and at its interfaces. Moreover, quantum simulations are considered in order to validate the different methodologies. For experimental analysis, the study has considered coherence and complementarity of different test structures as well as the impact of back substrate polarization
12

Conception et réalisation de circuits de génération de fréquence en technologie FDSOI 28nm / Design and implementation of frequency generating circuits in FDSOI 28nm

Fonseca, Alexandre 02 December 2015 (has links)
Le déploiement à grande échelle de l’internet des objets nécessite le développement de systèmes de radiocommunication plus économes en énergie, dont le circuit de génération de fréquences est connu pour être particulièrement énergivore. L’objectif de ce travail de thèse est donc d’une part de développer une synthèse de fréquences très faible consommation et d’autre part de démontrer les performances de la technologie FDSOI pour des applications analogiques et radiofréquences. Dans le premier chapitre sont présentées les spécifications du standard choisi -le BLE-, les spécificités de la technologie FDSOI et l'état de l’art des architectures de transmetteurs radiofréquences à faible consommation. Nous avons retenue de cette comparaison l'architecture à division par phases. Le deuxième chapitre présente les résultats de trois types de modélisation système de l’architecture ; 1 - le fonctionnement de ses composants et les points clés à respecter pour son implémentation, 2 - le comportement en bruit de phase pour la définition des spécifications, et 3 - l’impact de l’architecture sur la génération de raies spectrales parasites. Cette étude nous a permis de fixer le cahier des charges du VCRO développé au chapitre suivant. Le troisième chapitre est consacré à la conception, la réalisation et le test de 4 topologies de VCROs en technologie FDSOI 28nm et d'un circuit de test. Les premiers résultats de mesure sont encourageants mais nécessitent d’être complétés par des mesures avec PLL fractionnaire intégrée. En effet, la sensibilité des circuits à la tension d’alimentation (pushing de l’ordre de 5 GHz/V) a rendu les mesures du bruit de phase très délicates. / The large-scale deployment of IoT requires the development of more efficient energy radio systems, within which the frequency generation circuit is known to be particularly energy-consuming. The objective of this thesis is firstly to develop a very low consumption frequency synthesis and secondly to demonstrate the performance of the FDSOI technology for analog and RF applications.In the first chapter are the specifications of the chosen standard -the BLE-, the specifications of the FDSOI technology and state of the art of low power radio frequency synthesizers architecture. We have chosen from this comparison the Fractional Phase Divider architecture. The second chapter presents the results of three types of system simulations of the PLL; 1 - the operation of its components and the key points to be respected for its implementation, 2 - the phase noise behavior for the definition of specifications, and 3 - the impact of architecture on the generation of spurious. This study allowed us to set the specifications of VCROs developed in the next chapter. The third chapter is dedicated to the design, implementation and testing of four topologies of VCROs and a test circuit in FDSOI 28nm technology. The first measurement results are encouraging but they need to be complemented by an integrated fractional PLL measurement. Indeed, the sensitivity of the circuits to the supply voltage (pushing of about 5 GHz/V) made measurements of phase noise very delicate. The measured consumption is less than 0.8 mA and the surface of the circuits is of the order of 600 µm².In the fourth and final chapter we present the implementation at circuit-level of a phase synchronization PLL.
13

Caractérisation et modélisation de la fiabilité relative au piégeage dans des transistors décananométriques et des mémoires SRAM en technologie FDSOI / Characterization and modelling of the reliability due to carrier trapping in decananometer transistors and SRAM memory fabricated in FDSOI technology

Subirats, Alexandre 30 January 2015 (has links)
L’industrie microélectronique arrive aujourd’hui à concevoir des transistors atteignant quelquesdizaines de nanomètres. A de telles dimensions, les problématiques de fiabilité et de variabilité des dispositifsprennent une ampleur toujours plus importante. Notamment, le couplage de ces deux difficultés nécessite uneétude approfondie pour garantir des estimations correctes de la durée de vie des dispositifs. Aujourd’hui, ladégradation BTI (pour Bias Temperature Instability), due principalement aux mécanismes de piégeage dansl’oxyde de grille, apparait comme étant la principale source de dégradation responsable du vieillissement destransistors. Ce manuscrit présente une étude complète de la dégradation BTI intervenant sur des transistors depetites et grandes dimensions et sur des cellules mémoires SRAM (pour Static Random Access Memory). Dansun premier temps, une présentation des différentes méthodes de caractérisations rapides permettant demesurer correctement cette dégradation est faite. L’importance de l’utilisation de techniques de mesuresrapides afin de limiter les effets de relaxation qui succèdent à la dégradation BTI est clairement exposée. Puis, àl’aide de ces techniques de mesures, une étude exclusivement consacrée à la caractérisation et la modélisationde la dégradation NBTI (pour Negative BTI) sur des dispositifs de grandes dimensions est réalisée. Ensuite, lemanuscrit se focalise sur la dégradation intervenant dans des dispositifs de petites dimensions : transistors etcellules mémoires. Tout d’abord, une modélisation des phénomènes de piégeages dans l’oxyde de grille depetits transistors est effectuée. En particulier, des simulations 3D électrostatiques ont permis d’expliquerl’influence des pièges d’oxyde sur la tension de seuil (VT) dans des transistors décananométriques. Enfin, uneétude de la fiabilité de cellules SRAM est présentée. Notamment, nous montrons comment évoluent lesperformances et le fonctionnement des cellules lorsque les transistors qui les constituent sont affectés par unedégradation BTI. / Nowadays, microelectronic industry is able to manufacture transistors with gate length down to 30nm.At such scales, the variability and reliability issues are a growing concern. Hence, understanding the interplaybetween these two concerns is essential to guarantee good lifetime estimation of the devices. Currently, theBias Temperature Instability (BTI), which is mostly due to the carrier trapping occurring in the gate oxide,appears to be the principal source of degradation responsible for the ageing of transistor device. Thismanuscript presents a complete study of the BTI degradation occurring on small and big transistors and onStatic Random Access Memory (SRAM) cells. Thus, as a first step, several electrical characterization techniquesto evaluate the BTI degradation are presented. The necessity of fast measurement in order to avoid most of therelaxation effect occurring after the BTI stress is emphasized. Then, using these fast measurement techniques,a complete study of the Negative BTI (NBTI) on large devices is presented. Then, the manuscript focuses on thesmall devices: transistors and memory cells. First, a modeling of the trapping mechanism in the gate oxide ofsmall transistor is presented. In particular, 3D electrostatic simulations allowed us to understand the particularinfluence of the traps over the threshold voltage (VT) of the small transistors. Finally, the case of the SRAM isstudied. Finally, the impact of the degradation occurring at transistor level and impacting the functioning of theSRAM bitcells is investigated.
14

Bascules à impulsion robustes en technologie 28nm FDSOI pour circuits numériques basse consommation à très large gamme de tension d'alimentation / Robust and energy-efficient explicit pulse-triggered flip-flops in 28nm fdsoi technology for ultrawide voltage range and ultra-low power circuits

Bernard, Sébastien 07 October 2014 (has links)
Avec l'explosion du marché des applications portables et le paradigme de l'Internet des objets, la demande pour les circuits à très haute efficacité énergétique ne cesse de croître. Afin de repousser les limites de la loi de Moore, une nouvelle technologie est apparue très récemment dans les procédés industriels afin de remplacer la technologie en substrat massif ; elle est nommée fully-depleted silicon on insulator ou FDSOI. Dans les circuits numériques synchrones modernes, une grande portion de la consommation totale du circuit provient de l'arbre d'horloge, et en particulier son extrémité : les bascules. Dès lors, l'architecture adéquate de bascules est un choix crucial pour atteindre les contraintes de vitesse et d'énergie des applications basse-consommation. Après un large aperçu de l'état de l'art, les bascules à impulsion explicite sont reconnues les plus prometteuses pour les systèmes demandant une haute performance et une basse consommation. Cependant, cette architecture est pour l'instant fortement utilisée dans les circuits à haute performance et pratiquement absente des circuits à basse tension d'alimentation, principalement à cause de sa faible robustesse face aux variations.Dans ce travail, la conception d'architecture de bascule à impulsion explicite est étudiée dans le but d'améliorer la robustesse et l'efficacité énergétique. Un large panel d'architectures de bascule, avec les fonctions reset et scan, a été comparé dans le domaine énergie-délais, à haute et basse tension d'alimentation, grâce à une méthodologie de dimensionnement des transistors. Il a été montré que la technique dite de « back bias », l'un des principaux avantages de la technologie FDSOI, permettait des meilleures performances en énergie et délais que la méthodologie de dimensionnement. Ensuite, comme le générateur d'impulsion est la principale raison de dysfonctionnement, nous avons proposé une nouvelle architecture qui permet un très bon compromis entre robustesse à faible tension et consommation énergétique. Une topologie de bascule à impulsion explicite a été choisie pour être implémentée dans un banc de registres et, comparé aux bascules maître-esclave, elle présente une plus grande vitesse, une plus faible consommation énergétique et une plus petite surface. / The explosion market of the mobile application and the paradigm of the Internet of Things lead to a huge demand for energy-efficient systems. To overcome the limit of Moore's law due to bulk technology, a new transistor technology has appeared recently in industrial process: the fully-depleted silicon on insulator, or FDSOI.In modern ASIC designs, a large portion of the total power consumption is due to the leaves of the clock tree: the flip-flops. Therefore, the appropriate flip-flop architecture is a major choice to reach the speed and energy constraints of mobile and ultra-low power applications. After a thorough overview of the literature, the explicit pulse-triggered flip-flop topology is pointed out as a very interesting flip-flop architecture for high-speed and low-power systems. However, it is today only used in high-performances circuits mainly because of its poor robustness at ultra-low voltage.In this work, explicit pulse-triggered flip-flops architecture design is developed and studied in order to improve their robustness and their energy-efficiency. A large comparison of resettable and scannable latch architecture is performed in the energy-delay domain by modifying the sizing of the transistors, both at nominal and ultra-low voltage. Then, it is shown that the back biasing technique allowed by the FDSOI technology provides better energy and delay performances than the sizing methodology. As the pulse generator is the main cause of functional failure, we proposed a new architecture which provides both a good robustness at ultra-low voltage and an energy efficiency. A selected topology of explicit pulse-triggered flip-flop was implemented in a 16x32b register file which exhibits better speed, energy consumption and area performances than a version with master-slave flip-flops, mainly thanks to the sharing of the pulse generator over several latches.
15

Etude et conception de circuits innovants exploitant les caractéristiques des nouvelles technologies mémoires résistives / Study and design of an innovative chip leveraging the characteristics of resistive memory technologies

Lorrain, Vincent 09 January 2018 (has links)
Dans cette thèse, nous étudions les approches calculatoires dédiées des réseaux de neurones profonds et plus particulièrement des réseaux de neurones convolutionnels (CNN). En effet, l'efficacité des réseaux de neurones convolutionnels en font des structures calculatoires intéressantes dans de nombreuses applications. Nous étudions les différentes possibilités d'implémentation de ce type de réseaux pour en déduire leur complexité calculatoire. Nous montrons que la complexité calculatoire de ce type de structure peut rapidement devenir incompatible avec les ressources de l'embarqué. Pour résoudre cette problématique, nous avons fait une exploration des différents modèles de neurones et architectures susceptibles de minimiser les ressources nécessaires à l'application. Dans un premier temps, notre approche a consisté à explorer les possibles gains par changement de modèle de neurones. Nous montrons que les modèles dits impulsionnels permettent en théorie de réduire la complexité calculatoire tout en offrant des propriétés dynamiques intéressantes, mais nécessitent de repenser entièrement l'architecture matériel de calcul. Nous avons alors proposé notre approche impulsionnelle du calcul des réseaux de neurones convolutionnels avec une architecture associée. Nous avons mis en place une chaîne logicielle et de simulation matérielle dans le but d'explorer les différents paradigmes de calcul et implémentation matérielle et évaluer leur adéquation avec les environnements embarqués. Cette chaîne nous permet de valider les aspects calculatoires mais aussi d'évaluer la pertinence de nos choix architecturaux. Notre approche théorique a été validée par notre chaîne et notre architecture a fait l'objet d'une simulation en FDSOI 28 nm. Ainsi nous avons montré que cette approche est relativement efficace avec des propriétés intéressantes un terme de passage à l'échelle, de précision dynamique et de performance calculatoire. Au final, l'implémentation des réseaux de neurones convolutionnels en utilisant des modèles impulsionnels semble être prometteuse pour améliorer l'efficacité des réseaux. De plus, cela permet d'envisager des améliorations par l'ajout d'un apprentissage non supervisé type STDP, l'amélioration du codage impulsionnel ou encore l'intégration efficace de mémoire de type RRAM. / In this thesis, we study the dedicated computational approaches of deep neural networks and more particularly the convolutional neural networks (CNN).We highlight the convolutional neural networks efficiency make them interesting choice for many applications. We study the different implementation possibilities of this type of networks in order to deduce their computational complexity. We show that the computational complexity of this type of structure can quickly become incompatible with embedded resources. To address this issue, we explored differents models of neurons and architectures that could minimize the resources required for the application. In a first step, our approach consisted in exploring the possible gains by changing the model of neurons. We show that the so-called spiking models theoretically reduce the computational complexity while offering interesting dynamic properties but require a complete rethinking of the hardware architecture. We then proposed our spiking approach to the computation of convolutional neural networks with an associated architecture. We have set up a software and hardware simulation chain in order to explore the different paradigms of computation and hardware implementation and evaluate their suitability with embedded environments. This chain allows us to validate the computational aspects but also to evaluate the relevance of our architectural choices. Our theoretical approach has been validated by our chain and our architecture has been simulated in 28 nm FDSOI. Thus we have shown that this approach is relatively efficient with interesting properties of scaling, dynamic precision and computational performance. In the end, the implementation of convolutional neural networks using spiking models seems to be promising for improving the networks efficiency. Moreover, it allows improvements by the addition of a non-supervised learning type STDP, the improvement of the spike coding or the efficient integration of RRAM memory.
16

Caractérisation électrique et fiabilité des transistors intégrant des dielectriques High-k et des grilles métalliques pour les technologies FDSOI sub-32nm

Brunet, Laurent 08 March 2012 (has links)
L'intégration de diélectriques High-k dans les empilements de grille des transistors a fait naître des problèmes de fiabilité complexes. A cela vient s'ajouter, en vue des technologies sub-32nm planaires, de nouvelles problématiques liées à l'utilisation de substrats silicium sur isolant complètement désertés FDSOI. En effet, l'intégration d'un oxyde enterré sous le film de silicium non seulement va modifier l'électrostatique de la structure mais aussi introduire une nouvelle interface Si/SiO2 sujette à d'éventuelles dégradations. Ce manuscrit présente différentes méthodes de caractérisation électrique ainsi que différentes études de fiabilité des dispositifs FDSOI intégrants des empilements High-κ/ grille métallique. Dans un premier temps, une étude complète du couplage électrostatique dans des structures FDSOI est réalisée, permettant de mieux appréhender l'effet d'une tension en face arrière sur les caractéristiques électriques des dispositifs. Différentes méthodes de caractérisation des pièges d'interface sont ensuite présentées et adaptées, quand cela est possible, au cas spécifique du FDSOI, où les défauts entre le film de silicium et l'oxyde enterré doivent être pris en compte. Enfin, différentes études de fiabilité sont présentées, des phénomènes de PBTI et de NBTI sur des dispositifs à canaux longs aux phénomènes propres aux dispositifs de petite dimension, tels que l'impact des porteurs chauds dans des structures FDSOI à film ultra fins et les effets parasites d'augmentation de la tension de seuil lorsque les largeurs des transistors diminuent. / The integration of High-k dielectrics in recent CMOS technologies lead to new complex reliability issues. Furthermore new concerns appear with the use of fully depleted silicon on insulator (FDSOI) substrates for future sub-32nm planar technologies. Indeed, the integration of a buried oxide underneath the silicon film changes the electrostatic of the structure and create a new Si/SiO2 interface which may be degraded. This thesis presents different electrical characterization techniques and reliability studies on High-κ/metal gate FDSOI transistors. First, a complete electrostatic study of FDSOI structures is done allowing a better understanding of the effects of backgate biases. Different techniques to characterize interface traps are then presented and adapted to FDSOI devices, where traps at the silicon film/buried oxide interface must be considered. Finally, different reliability studies are presented; from NBTI and PBTI issues on long channel devices to specific concerns related to small gate length transistors such as hot carriers degradation on ultra-thin film FDSOI devices and threshold voltage increase with gate width scaling.
17

Etude statistique de l’énergie dans les circuits intégrés CMOS-FDSOI : caractérisation et optimisation / Statistical study of the energy in CMOS-FDSOI integrated circuits : characterization and optimization

Kheirallah, Rida 19 October 2016 (has links)
Pour les nœuds technologiques avancés, la consommation statique des circuits intégrés est devenue un facteur essentiel de l'industrie microélectronique. L'efficacité énergétique des circuits est mesurée en fonction de leur performance et en fonction de leur consommation statique. Face à l'augmentation de la variabilité des paramètres physiques et environnementaux, la technologie silicium sur isolant complètement désertée (FD-SOI : Fully-Depleted Silicon-On-Insulator) permet de prolonger la loi de Moore dans le domaine nanométrique. Dans ce mémoire une étude statistique de l'énergie des circuits intégrés CMOS-FDSOI est réalisée. Des bibliothèques statistiques qui caractérisent le délai et la puissance statique des transistors CMOS-FDSOI sont mises en place. Compte tenu des avantages liés à la technologie FDSOI, des approches statistiques basées sur les bibliothèques sont appliquées pour estimer le délai et la puissance statique. En conservant l'exactitude de l'estimation, ces approches apportent un gain important en temps CPU. Suite à l'estimation du délai et de la puissance statique, les variations énergétiques des transistors CMOS-FDSOI sont étudiées en fonction de la tension d'alimentation et en fonction de la tension de polarisation. Ainsi, grâce à la détermination d'un compromis Délai-Puissance Statique efficace et l'élaboration d'un flow d'optimisation statistique, l'énergie statique d'un circuit a pu être optimisée. / For advanced technology nodes, static consumption of integrated circuits has become a key factor for the microelectronics industry. Circuit energy efficiency is measured in terms of performance and static consumption. With the increase of physical and environmental parameters, the Fully-Depleted Silicon-on-Insulator technology allows to extend Moore's law in the nanometer domain. In this work, a statistical study of CMOS-FDSOI integrated circuit energy is carried out. Statistical libraries characterizing delay and static power of CMOS-FDSOI transistors are presented. Given the advantages of the FDSOI technology, statistical approaches based on the libraries are applied in order to estimate delay and static power. While maintaining the accuracy of the estimations, these approaches provide a significant gain in CPU time. Following delay and static power estimation, CMOS-FDSOI transistors energy variations are considered according to supply voltage and voltage body biasing. Thus, by determining an efficient Delay-Static Power compromise and the development of a statistical optimization flow, static energy of a circuit has been optimized.
18

Millimeter-wave and terahertz frequency synthesis on advanced silicon technology / Synthèse de fréquence millimétrique et térahertz en technologie silicium avancée

Guillaume, Raphael 18 December 2018 (has links)
Ces dernières années les bandes de fréquence millimétriques et térahertz (THz) on tmontrées un fort potentiel pour de nombreuses applications telles que l’imagerie médicale et ,biologique, le contrôle de qualité ou les communications à très haut débit. Les principales raisons de cet intérêt sont les nombreuses propriétés intéressantes des ondes THz et millimétriques, telles que leur capacité traverser la matière et ceci de manière inoffensive ou le large spectre disponible à ces fréquences. Les applications visées nécessitent des sources de signaux énergétiquement efficaces, à forte puissance de sortie et, pour certaines applications, à faible bruit de phase. De plus, la demande croissante pour des applications dans ces bandes de fréquence imposent l’utilisation de technologie de hautes performances à coût métrisé et permettant une intégration à très grande échelle, telle que la technologie28nm CMOS FD-SOI. Dans ce contexte, cette thèse propose une solution innovante pour la génération de fréquence millimétrique et THz en technologie CMOS : l’oscillateur distribué verrouillé par injection. Les travaux présentés dans ce manuscrit englobent l’analyse détaillé de l’état de l’art et de ses limites, l’étude théorique approfondie de la solution proposée pour une intégration en ondes millimétriques, le développement d’une méthodologie de conception spécifique en technologie CMOS ainsi que la conception de démonstrateurs technologique. Les différents oscillateurs intégrés en technologie 28nm FDSOI et opérant à des fréquences respectivement de 134 GHz et 200 GHz ont permis de démontrer la faisabilité de sources de signaux millimétrique et THz, à forte efficacité énergétique, forte puissance de sortie et faible bruit de phase en technologie CMOS à très grande échelle d’intégration. Enfin, la capacité de verrouillage par injection de tels oscillateurs distribués a été démontrée expérimentalement ouvrant la voie à de futurs systèmes THz totalement intégrés sur silicium. Les solutions intégrées démontrées dans cette thèse ont, à l’heure actuelle, la plus grande fréquence d’oscillation dans un noeud Silicium 28nm CMOS. / In recent years, millimeter-wave (mm-wave) and terahertz (THz) frequency bands haverevealed a great potential for many applications such as medical and biological imaging,quality control, and very-high-speed communications. The main reasons for this interestare the many interesting properties of THz and millimeter waves, such as their ability toharmlessly penetrate through matter or the broad spectrum available at these frequencies.Targeted applications require energy efficient signal sources with high power outputand, for some applications, low phase noise. In addition, the increasing demand in mmwave/THz applications requires the use of a cost-optimized, high-performance, and verylarge scale integration (VLSI) technologies, such as the 28nm CMOS FD-SOI technology.In this context, this thesis proposes an innovative solution for mm-wave and THz frequencygeneration in CMOS technology: the injection locked distributed oscillator (ILDO). Thework presented in this manuscript includes the detailed analysis of the state-of-the-artand its limitations, the detailed theoretical study of the proposed millimeter-waves bandsolution, the development of a specific design methodology in CMOS technology as well asthe design of technological demonstrators. The several 28nm FDSOI integrated distributedoscillators at 134 GHz and respectively 200 GHz have demonstrated the feasibility ofmm-wave and THz signal sources with high-energy efficiency, high output power, and lowphase noise in a VLSI CMOS technology. Finally, the injection locking capability of suchdistributed oscillators has been demonstrated experimentally paving the way for a futuresilicon-based fully integrated THz systems. The proposed circuits are as of today thehighest oscillation frequency solutions demonstrated in a 28nm CMOS Silicon technology.
19

Effets d’antenne sur transistors FDSOI à film ultra mince issus de technologies 28nm et en deçà / Plasma charging in FDSOI ultra-thin body from 28nm technologies and below

Akbal, Madjid 22 January 2016 (has links)
Depuis ses débuts, l’industrie de la microélectronique s’est fixé comme objectif d’augmenter les performances et la densité des circuits, en suivant la loi de Moore. Ainsi, depuis la commercialisation du premier circuit en 1971, les industriels se sont atteler à miniaturiser les transistors, ce qui améliore automatiquement leurs performances. Cela dit, à partir du nœud 28nm, l’électrostatique est devenue très difficile à contrôler, et de nouvelles architectures de transistor, tel que le FDSOI est proposée par STMicroelectronics pour remédier à cette problématique. Les dégradations par effets d’antenne, qui apparaissent lors des procédés plasma, provoque la dégradation de l’oxyde de grille des composants, et peuvent ainsi induire la perte des avantages offerts par cette nouvelle technologie. Dans ce contexte, l’évaluation de l’impact de ce phénomène sur le comportement électrique des transistors en technologie FDSOI est clé. Cela représente l’objectif principal de cette thèse. Tout d’abord, un protocole expérimental a été défini, basé sur des techniques de caractérisation des procédés plasma (structures d’antenne), et sur la caractérisation de la dégradation de l’oxyde de grille. Ensuite, un nouveau mode d’écoulement des charges durant les étapes plasma, spécifique à cette nouvelle technologie est proposé. Le comportement des principaux mécanismes de dégradation par effet d’antenne est aussi investigué. Le premier, est lié à la nonuniformité locale du plasma entre les nœuds du transistor, qui induit des dégradations de type porteurs chauds. Le second, est lié à la topographie des antennes, qui cause des effets d’ombrage électronique, et donc des déséquilibre en courant entre les nœuds du transistor. Enfin, un modèle basé sur un simulateur de circuit ELDO ®, et qui permet de reproduire le comportement de ce phénomène dans la technologie FDSOI est proposé. Ce dernier tient compte des caractéristiques des structures d’antenne ainsi que des paramètres plasma. Diverses solutions sont par la suite proposées pour réduire les tensions d’antenne, basées notamment sur des simulations modèles pour optimiser les paramètres des procédés plasma. Des solutions de prévention dès la conception des circuits sont aussi proposées. / Since its beginning, the microelectronic industry is aiming to increase the circuits performance and density, following Moore’s law. Hence, since the commercialization of the first circuit in 1971, the industry focuses on the transistor dimensions reduction, which improve the device performances. But, starting from the 28nm technological node, the electrostatic has become very difficult to control, and new device structure, such as the FDSOI, is proposed by STMicroelectronics to resolve this issue. The antenna effects, which occur during plasma processes, induce gate oxide damages, which can lead to the loss of those new technology benefits. In this context, the analysis of this phenomenon on the electrical behavior of FDSOI devices is key. This is the main objective of this work. First, an experimental protocol is defined, based on plasma processes characterization technique (antenna structures), and gate oxide damage characterization. Then, a charging flow mode specific to this new technology is proposed. The mechanisms linked to the antenna damages are also investigated. The first mechanism is linked to the plasma local nonuniformity between the device nodes, which induces a stress mode similar to hot carrier injection. The second mechanism is related to the antenna topography, which generates electron shading effect, thus promoting an electrical imbalance between the device nodes. Finally, a model based on the simulator circuit ELDO ®, which allows reproducing the behavior of this phenomenon on the FDSOI technology is proposed. This model takes into account the antenna structure characteristics and the plasma parameters. Based on the model simulations, various solutions to reduce the antenna voltages are proposed. Prevention rules during the circuit design were also proposed and implemented.
20

Etude des mécanismes affectant la fiabilité des oxydes enterrés ultra-minces et des dispositifs avancés en technologie FDSOI / Study of the mechanisms affecting the reliability of ultra-thin buried oxides and devices in FDSOI technology

Besnard, Guillaume 03 June 2016 (has links)
Avec une introduction pour le nœud technologique 28nm, l’architecture FDSOI planaire devient une alternative intéressante pour adresser les marchés microélectroniques nécessitant une faible voire très faible consommation d’énergie. Elle se différencie principalement grâce à sa technologie de polarisation arrière, dite Back-Bias, afin de moduler la tension de seuil des transistors avec une grande efficacité. Cette modulation permet alors d’adapter le fonctionnement du circuit pour augmenter les performances ou diminuer la consommation. En plus de l’utilisation de film de SOI minces propre à l’architecture, les substrats FDSOI nécessite l’intégration d’oxydes enterrés minces afin de rendre possible la modulation de tension de seuil. Dans ce manuscrit, nous présentons une étude de la fiabilité des oxydes enterrés minces à travers un ensemble de caractérisations électriques et physico-chimiques dans le but d’évaluer leur durée de vie et l’impact de leur dégradation sur les dispositifs. Dans un premier temps, nous donnerons les éléments nécessaires à la compréhension de la dégradation des oxydes dans un contexte d’applications microélectroniques. Les phénomènes évoqués seront alors appliqués aux oxydes enterrés à travers différentes méthodes de caractérisation. Dans un second temps, nous ferons un état de l’art de la fabrication des substrats FDSOI et comparons ainsi la qualité des UTBOX à un oxyde thermique SiO2 de référence par l’intermédiaire de la mesure de charge au claquage (QBD). Plusieurs optimisations seront alors proposées et évaluées pour améliorer cette fiabilité. Ensuite, à partir d’un suivi de la dégradation du volume de l’oxyde et des interfaces, nous chercherons à expliquer le vieillissement de ces oxydes en le rattachant au modèle de percolation. Enfin, nous évaluerons la fiabilité de transistors FDSOI et mesurerons l’impact de la dégradation de l’interface arrière sur leur fonctionnement. Lors de cette étude, nous ferons une comparaison de la fiabilité entre des dispositifs non-contraints et des dispositifs intégrant un canal de silicium contraint en tension réalisés sur des substrats sSOI. Les substrats sSOI sont prévus pour être utilisés sur un nœud technologique 10nm afin d’augmenter la performance des transistors NMOS. / With his introduction on a 28nm technology node, planar FDSOI becomes an excellent architecture to address Low-Power and Ultra-Low Power applications. One of the most interesting technologies is back-bias which enables strong multi-Vth management in order to increase performance or decrease power consumption. Thus, in addition to thin silicon film, FDSOI wafers integrate thin buried oxide to enable this Back-Bias technology. This manuscript presents the study of the reliability of UTBOX thorough electrical and physical characterizations in order to evaluate their lifetime and the impact of their degradation on the devices. First, we will talk about basics of oxide reliability applied to ultra-thin buried oxides and electrical characterization tools used to monitor their wear-out. Second, we describe state-of-the-art processes for FDSOI substrate fabrication and compare the reliability of UTBOX to thermal SiO2, especially by charge-to-breakdown measurements (QBD). By this way, several optimizations have been proposed to improve this reliability. Then, we have monitored bulk oxide and interface degradation of UTBOX to understand, explain and model the wear-out mechanism evolved in the percolation model of buried oxides. Finally, we present the degradation of the back interface and the impact on the characteristics of the transistor. In this context, we also compare standard unstrained FDSOI devices with tensely-strained FDSOI devices from sSOI substrates. This substrate is planned to take part of the 10nm FDSOI technology node in order to increase the performance of NMOS transistors.

Page generated in 0.0364 seconds