• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 52
  • 40
  • 16
  • 8
  • 8
  • 5
  • 3
  • 1
  • 1
  • 1
  • Tagged with
  • 142
  • 142
  • 42
  • 35
  • 33
  • 28
  • 28
  • 22
  • 19
  • 19
  • 19
  • 18
  • 17
  • 17
  • 17
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
131

High-k Dielectrics For Metal-Insulator-Metal Capacitors

Revathy, P 07 1900 (has links) (PDF)
Metal-insulator-metal (MIM) capacitors are used for analog, RF, and DRAM applications in ICs. The International Technology Roadmap for Semiconductors (ITRS) specifies continuing increase in capacitance density (> 7 fF/ m2), lower leakage current density (< 10 8 A/cm2), very low effective oxide thickness (EOT < 1 nm, for DRAM applications), and better capacitance density-voltage (C-V) linearity ( < 100 ppm/V2, for analog/RF applications). In addition, the maximum fabrication/processing temper-ature should not be greater than 400 0C, in order to be compatible with the thermal budget of back-end fabrication steps. Low dielectric constants of conventional SiO2 and Si3N4 capacitors limit the capacitance densities of these devices. Although scaling down of dielectric thickness increases the capacitance density, it results in large leakage current density and poor C-V linearity. In this work, the effects of high-k materials (Eu2O3, Gd2O3, TiO2) on the device performance of MIM capacitors are studied. The performance of multi-dielectric stack, and doped-dielectric stack devices are also investigated. The effects of anneal temperature, anneal ambient, anneal mode, and dielectric thickness on device performance are evaluated. C-V, current density-voltage (J-V), and reliability measurements are performed to benchmark the electrical performance, and this is correlated to the structural and material properties of the films through ellipsometry, scanning electron microscopy (SEM), X-ray diffraction (XRD), and X-ray photoelectron spectroscopy (XPS) measurements. High-performance MIM capacitors are fabricated by using (RF sputtered) Eu2O3 dielectric. The fabricated devices are subjected to different anneal conditions, to study their device performance. Forming gas (FG) and argon (Ar) annealed devices are shown to have higher capacitance densities (7 fF/ m2jF G), lower leakage current densities (3.2 10 8 A/cm2jAr at -1 V), and higher , compared to oxygen (O2) annealed de-vices ( 100kHz = 193 ppm/V2jO2). The electrical characterization results are correlated with the surface chemical states of the films through XPS measurements. The annealing ambient is shown to alter the surface chemical states, which, in turn, modulate the electrical characteristics. High-density MIM capacitors are fabricated by using (RF sputtered) Gd2O3, and Gd2O3-Eu2O3 stacked dielectrics. The fabricated Gd2O3 capacitors are also subjected to different anneal conditions, to study their device performance. Although Gd2O3 capacitors provide high capacitance density (15 fF/ m2), they suffer from high leakage current density, high , and poor reliability. Therefore, stacked dielectrics of Gd2O3 and Eu2O3 (Gd2O3/Eu2O3 and Eu2O3/Gd2O3) are fabricated to reduce leakage current density, improve , and improve reliability, with only a marginal reduction in capacitance density, compared to Gd2O3 capacitors. Density of defects and barrier/trap heights are extracted for the fabricated capacitors, and correlated with the device characteristics. High-performance MIM capacitors with bilayer dielectric stacks of (ALD-deposited) TiO2-ZrO2, and Si-doped ZrO2 are characterized. Devices with (ALD-deposited) TiO2/ ZrO2/TiO2 (TZT) and AlO-doped TZT stacks are also characterized. The influence of doping on the device performance is studied. The surface chemical states of the deposited films are analyzed by high-resolution XPS. The structural analysis of the samples is performed by XRD measurements, and this is correlated to the electrical characteristics of the devices. Reliability measurements are performed to study the effects of constant voltage and current stress on device performance. High capacitance density (> 45 fF/ m2), low leakage current density (< 5 10 8 A/cm2 at -1 V, for most devices), and sub-nm EOT are achieved. These parameters exceed the ITRS specifications for DRAM storage capacitors.
132

Hochauflösende Rutherford-Streuspektrometrie zur Untersuchung von ZrO2-Schichtwachstum im Anfangsstadium

Vieluf, Maik 03 June 2010 (has links)
Die vorliegende Arbeit entstand im Rahmen einer Kooperation des Forschungszentrums Dresden-Rossendorf mit Qimonda Dresden GmbH & Co. OHG. Mithilfe der hochauflösenden Rutherford-Streuspektrometrie (HR-RBS) wurden das Diffusionsverhalten und Schichtwachstum von ZrO2 auf SiO2 und TiN im Anfangsstadium untersucht. Auf Grund der exzellenten Tiefenauflösung von 0,3 nm an der Oberfläche stand die Analyse von Konzentrationsprofilen in ultradünnen Schichten, respektive an deren Grenzflächen im Vordergrund. Zur qualitativen Verbesserung der Messergebnisse wurde erstmals ein zweidimensionaler positionsempfindlicher Halbleiterdetektor in den Aufbau der HR-RBS implementiert und charakterisiert. Außerdem wurde ein Messverfahren in Betrieb genommen, das mögliche Schädigungen durch den Ioneneintrag in die Messprobe minimiert. Durch die Optimierung der experimentellen Bedingungen und die Entwicklung eines Programmpaketes zur Unterstützung des Analysten konnte ein effizienter Routine-Messablauf erstellt werden. Im Moment einer binären Kollision zwischen einfallendem Ion und Targetelement kommt es bei kleinem Stoßparameter zu Veränderungen des Ladungszustands der gestreuten Ionen, insbesondere durch die abrupte Geschwindigkeitsänderung des Projektils und der Überlappung der Elektronenwolken. Bei der HR-RBS mit Energie separierendem Dipolmagneten muss zur Interpretation von Streuspektren die Ladungszustandsverteilung der gestreuten Projektile bekannt sein. Erstmalig konnte eine signifikante Abhängigkeit der Ladungszustandsverteilung gestreuter C-Ionen sowohl von der Schichtdicke als auch der Ordnungszahl des detektierten Targetelements, hier der vierten Nebengruppe, nachgewiesen werden. Diese gewonnen Erkenntnisse ermöglichten systematische Untersuchungen zum ZrO2-Schichtwachstum im Anfangsstadium. Zur Herstellung der ZrO2-Schichten wurde die Atomlagenabscheidung (ALD) verwendet. Anhand der nachgewiesenen Agglomeration von ZrO2 auf nativen SiO2 wurde mithilfe der Rasterkraftmikroskopie (AFM) zur Bestimmung von Oberflächenrauigkeiten eine Methode konzipiert, welche die Auswirkung lokaler Schichtdickeninhomogenitäten auf die niederenergetische Flanke eines Streuspektrums berücksichtigt. Auf dieser Grundlage durchgeführte Simulationsrechnungen ergeben, dass keine Diffusion von Zr in die darunter liegende Schicht stattfand, jedoch eine ZrSiO4-Grenzflächenschicht existiert. Für das Wachstum von ZrO2 auf TiN wird aus den hoch aufgelösten Streuspektren ein völlig anderes Verhalten abgeleitet. Messungen zu Oberflächentopografien der TiN-Schicht liefern nicht zu vernachlässigende Werte für die Rauigkeit. Um den Einfluss der Oberflächenrauigkeit auf die Form des hoch aufgelösten Spektrums erfassen zu können, wurde eine Software entwickelt. Auf Basis von AFM-Messungen ermöglicht dieses Programm das Extrahieren einer Energieverteilung aus den Weglängen von ausschließlich an der Oberfläche gestreuten Ionen. Unter Berücksichtigung des Effekts der Oberflächenrauigkeit auf die HR-RBS Spektrenform konnte die Diffusion von Zr in das polykristalline TiN erstmals verifiziert werden. Die Beobachtungen weisen daraufhin, dass bereits nach dem ersten ALD-Zyklus ein geringer Anteil der deponierten Zr-Atome bis in eine Tiefe von etwa 3 nm in das TiN diffundiert. Die vorläufigen Ergebnisse legen Korngrenzendiffusion nahe. / This thesis originated from a cooperation between Research Center Dresden-Rossendorf and Qimonda Dresden GmbH & Co. OHG. By means of High Resolution Rutherford Backscattering Spectrometry (HR-RBS) the diffusion behaviour and layer growth of ZrO2 on SiO2 and TiN in the initial regime were investigated. The analysis of concentration profiles in ultrathin layers and interfaces was the focus of this work, made possible by the excellent depth resolution of less than 0.3 nm near the surface. For the first time a two-dimensional position sensitive semiconductor detector was implemented and characterized in the setup of the HR-RBS for the improvement of the quality of the measurement results. Furthermore, a measurement procedure was put into operation that allowed the reduction of ion induced damage. Through the optimization of the experimental conditions and the development of a program package for the support of the analyst, an efficient measurement procedure could be routinely ensured. At the time of a binary collision between the incident ion and the target element with a small impact factor, the charge state changes frequently, especially due to the abruptly decreasing ion velocity of the projectile and the overlapping of the electron clouds. For HR-RBS with an energy-separating dipole magnet, the charge state distribution of the scattered ions must be known for the interpretation of the measured spectra. For the first time a significant dependence of the charge state distribution of the scattered C ions on the layer thickness as well as atomic number of the detected target elements, here from the fourth subgroup, was emonstrated. This new knowledge allowed systematic investigations of the ZrO2 layer growth in the initial regime. The ZrO2 layers were produced by means of the atomic layer deposition (ALD). Based on the evidence for agglomeration of ZrO2 on SiO2 a method was introduced, which takes local thickness variations into account during the simulation of the HR-RBS spectra. An accurate statement about the ZrO2/SiO2 interface was possible due to the extraction of the thickness variation by the atomic force microscopy (AFM). The boundary surface is sharp except for a small intermediate ZrSiO4 layer and no diffusion of Zr atoms in SiO2 could be detected. A quite different behaviour could be derived from high resolution spectra for the growth of ZrO2 on TiN. Measurements of the surface topography of the TiN layer revealed non negligible values for the surface roughness. A program was developed to capture the influence of the surface roughness on the shape of the high resolution spectrum. This software uses AFM measurements to extract an energy distribution from calculated path length differences for ions scattered at the sample surface. Diffusion of Zr into polycrystalline TiN was demonstrated for the first time taking into account the effect of the surface roughness on the shape of the spectra. This observation indicates that already after the first ALD reaction cycle a small part of the deposited Zr atoms diffuses into the TiN layer up to a depth of 3 nm. Such preliminary results suggest grain boundary diffusion.
133

Theoretical Investigation of High-k Gate Stacks in nano-MOSFETs

Nadimi, Ebrahim 19 July 2022 (has links)
Diese Arbeit beschäftigt sich mit der „First-Principles“ atomskaligen Modellierung der HfO2-basierten high-k-Gate-Isolatorschichten der Metalloxid-Halbleiter-Feldeffekttransistoren. Die theoretischen Untersuchungen basieren auf Dichtefunktionaltheorie und Nichtgleichgewicht-Greensche-Funktion-Formalismen. Eine der wichtigsten Eigenschaften eines Gate-Isolators ist der Wert seiner Bandlücke. Die Bandlücke eines gemischten Festkörpers aus SiO2 und ZrO2 oder HfO2 wird auf der Grundlage der „Generalized Quasi-Chemical“ Approximation in Kombination mit dem „Cluster Expansion“ Ansatz berechnet. Zu diesem Zweck wurde Dichtefunktionaltheorie für die Berechnung der Eigenschaften verschiedener Konfigurationen möglicher Elementarzellen durchgeführt. Es wurde ein fast linearer Verlauf für die Bandlücke eines aus SiO2 und HfO2 gemischten Festkörpers berechnet. Im Vergleich zu dem üblichen SiO2 Gate-Isolator, haben die high-k-Gate-Isolatoren eine höhere Defektdichte, die hauptsächlich aus Sauerstoffleerstellen bestehen. Dies führt zu mehreren Problemen, wie zum Beispiel höherer Leckstrom, Schwellenspannungsverschiebung und Degradation des Gateoxids. Daher wurde eine umfassende Untersuchung der verschiedenen Eigenschaften von Sauerstofffehlstellen in HfO2 durchgeführt, indem wichtige Parameter wie zum Beispiel die Formationsenergien und die Lage der Defektniveaus in der Bandlücke berechnet wurden. Es wurde durch die theoretischen Berechnungen gezeigt, dass die schädlichen Auswirkungen von Sauerstofffehlstellen durch die Einführung von Lanthan-Atomen in dem HfO2 Kristallgitter teilweise zu verringern sind. Energetisch gesehen bevorzugen die Lanthan-Atome die Hf-Gitterplätze in der Nachbarschaft einer Sauerstofffehlstelle und führen dadurch zu der Passivierung durch Sauerstoffleerstelle induzierten Defektniveaus. Die high-k-Isolatorschicht in den heutigen Transistoren besteht aus drei Schichten: einem Metallgate, einer HfO2-Schicht als Haupt-Gate-Isolator und einer sehr dünnen SiO2 Übergangsschicht zwischen Gateoxid und Si. Die Einführung eines Metallgates führt zu einigen Problemen bei der Einstellung einer geeigneten Schwellenspannung in den Transistoren. Theoretische Berechnungen in einer komplexen Modellstruktur von der Si/SiO2/HfO2-Grenzfläche zeigen, dass die dotierten Lanthan-Atome energetisch die SiO2/HfO2-Grenzfläche bevorzugen, was wiederum ein Dipolmoment an der Grenzfläche erzeugt. Dieses Dipolmoment kann verwendet werden, um die richtige Schwellenspannung wieder einzustellen. Schließlich wird in den experimentellen Messungen festgestelltes progressives Degradationsverhalten von high-k-Gate-Isolatoren mit einem theoretischen Modell erklärt. Dieses Modell basiert auf ab-initio-Berechnungen und zeigt, wie die Erzeugung geladener Sauerstoffleerstellen und deren Migration unter der angelegten Gatespannung zu einer progressiven Erhöhung des Leckstroms und folglich zu einer Degradation der Isolatorschicht führt.:List of Figures 7 List of Tables 9 List of Symbols 10 List of Abbreviations 11 Chapter 1: Introduction 12 Chapter 2: Theory of Atomic-Scale First-Principles Calculations 15 2.1 Theoretical methods 15 2.2 Density functional theory 17 2.3 Non-equilibrium Green’s function formalism 23 Chapter 3: Calculations for Bulk High-k Materials 27 3.1 Bulk high-k materials 27 3.2 Crystalline insulators 27 3.3 Solid solutions 29 3.3.1 Cluster expansion approach 30 3.3.2 Band gap and bowing parameter 33 3.3.3 Calculation of internal stress 40 3.4 Leakage current 41 Chapter 4: Defects in Bulk High-k Materials 43 4.1 Defects in high-k gate dielectrics 43 4.2 Oxygen vacancies in monoclinic HfO2 44 4.2.1 Neutral oxygen vacancies 44 4.2.2 Charged oxygen vacancies 46 4.3 Hybrid functional 50 4.4 Double oxygen vacancies 56 4.5 Interaction of oxygen vacancies with La-doping 61 4.5.1 La doping in m-HfO2 61 4.5.2 Complex LaHfVO defects 64 Chapter 5: Interface Properties of High-k Gate Stack 72 5.1 high-k gate-stack 72 5.1.1 Atomic-scale model structure for a high-k gate-stack 72 5.1.2 Electronic structure 74 5.1.3 Leakage current 76 5.2 Band offset 80 5.3 Threshold voltage engineering with La doping 84 Chapter 6: Degradation of the High-k Gate Stack 90 6.1 Reliability issues in high-k gate-stack 90 6.2 Calculations and experimental methods 91 6.3 Leakage current 92 6.4 Defect generation 100 6.5 Explaining progressive SILC in high-k dielectrics 102 Chapter 7: Conclusions 104 Bibliography 106 Selbständigkeitserklärung 119 Danksagung 120 Lebenslauf 121 Veröffentlichungen 122 / This thesis deals with the first-principles atomic-scale modeling of the HfO2-based high-k gate-insulator layer of the metal-oxide-semiconductor field-effect transistors. The theoretical investigations are based on density functional theory and non-equilibrium Green's function formalisms. One of the important properties of the gate insulator is the value of its band gap. The band gap of amorphous solid mixtures of SiO2 and ZrO2 or HfO2 is calculated based on generalized quasi-chemical approximation combined with a cluster expansion approach, by performing density functional calculations on different configurations of possible unit cells. An almost linear variation of the band gap is obtained for solid mixtures of SiO2 and HfO2. One drawback of the high-k gate-insulator, comparing to the standard SiO2, is high density of defects, particularly oxygen vacancies, which leads to several problems such as enhancement of the leakage current, threshold voltage instability, and degradation of the gate-oxide. A comprehensive investigation of different properties of oxygen vacancies in HfO2 is conducted by the calculation of formation energies and induced trap levels. It is shown based on theoretical calculations that the harmful effects of oxygen vacancies can be partially healed by introducing lanthanum atoms into the defected HfO2 crystal. Lanthanum atoms energetically prefer to occupy Hf lattice sites close to the oxygen vacancies and passivate the induced defect levels. The state-of-the-art high-k gate-stacks consist of a metal-gate on a HfO2 layer, as the main part of the gate insulator, and a very thin SiO2 intermediate layer between high-k material and Si. The introduction of a metal-gate raises some problem in the adjustment of an appropriate threshold voltage. Theoretical calculations in a complex model structure of the Si/SiO2/HfO2 interface reveals that the lanthanum atoms energetically prefer to stay at the SiO2/HfO2 interface, which in turn results in a dipole moment. This dipole moment can be employed to adjust the threshold voltage in high-k/metal-gate stacks. Finally, a theoretical model, which can quiet well explain the experimental measurements, is introduced for the progressive degradation of the high-k gate-insulators. This model is based on ab-initio calculations and shows how the generation of charged vacancies and their migration under the applied gate voltage leads to the progressive enhancement of the leakage current and consequently to the degradation of the insulator layer.:List of Figures 7 List of Tables 9 List of Symbols 10 List of Abbreviations 11 Chapter 1: Introduction 12 Chapter 2: Theory of Atomic-Scale First-Principles Calculations 15 2.1 Theoretical methods 15 2.2 Density functional theory 17 2.3 Non-equilibrium Green’s function formalism 23 Chapter 3: Calculations for Bulk High-k Materials 27 3.1 Bulk high-k materials 27 3.2 Crystalline insulators 27 3.3 Solid solutions 29 3.3.1 Cluster expansion approach 30 3.3.2 Band gap and bowing parameter 33 3.3.3 Calculation of internal stress 40 3.4 Leakage current 41 Chapter 4: Defects in Bulk High-k Materials 43 4.1 Defects in high-k gate dielectrics 43 4.2 Oxygen vacancies in monoclinic HfO2 44 4.2.1 Neutral oxygen vacancies 44 4.2.2 Charged oxygen vacancies 46 4.3 Hybrid functional 50 4.4 Double oxygen vacancies 56 4.5 Interaction of oxygen vacancies with La-doping 61 4.5.1 La doping in m-HfO2 61 4.5.2 Complex LaHfVO defects 64 Chapter 5: Interface Properties of High-k Gate Stack 72 5.1 high-k gate-stack 72 5.1.1 Atomic-scale model structure for a high-k gate-stack 72 5.1.2 Electronic structure 74 5.1.3 Leakage current 76 5.2 Band offset 80 5.3 Threshold voltage engineering with La doping 84 Chapter 6: Degradation of the High-k Gate Stack 90 6.1 Reliability issues in high-k gate-stack 90 6.2 Calculations and experimental methods 91 6.3 Leakage current 92 6.4 Defect generation 100 6.5 Explaining progressive SILC in high-k dielectrics 102 Chapter 7: Conclusions 104 Bibliography 106 Selbständigkeitserklärung 119 Danksagung 120 Lebenslauf 121 Veröffentlichungen 122
134

LaAlO3 amorphe déposé par épitaxie par jets moléculaires sur silicium comme alternative pour la grille high-κ des transistors CMOS / Amorphous LaAlO3 deposited by molecular beam epitaxy on silicium as alternative high-κ gate in CMOS transistors

Pelloquin, Sylvain 09 December 2011 (has links)
Depuis l'invention du transistor MOS à effet de champ dans les années 60, l'exploitation de cette brique élémentaire a permis une évolution exponentielle du domaine de la microélectronique, avec une course effrénée vers la miniaturisation des dispositifs électroniques CMOS. Dans ce contexte, l'introduction des oxydes "high-κ" (notamment HfO2) a permis de franchir la barrière sub-nanométrique de l'EOT (Equivalent Oxide Thickness) pour l’oxyde de grille. Les travaux actuels concernent notamment la recherche de matériaux "high-κ" et de procédés qui permettraient d'avoir une interface abrupte, thermodynamiquement stable avec le silicium, pouvant conduire à des EOTs de l'ordre de 5Å. L’objectif de cette thèse, était d’explorer le potentiel de l’oxyde LaAlO3 amorphe déposé sur silicium par des techniques d’Épitaxie par Jets Moléculaires, en combinant des études sur les propriétés physico-chimiques et électriques de ce système. Le travail de thèse a d’abord consisté à définir des procédures d'élaboration sur Si de couches très minces (≈4nm), robustes et reproductibles, afin de fiabiliser les mesures électriques, puis à optimiser la qualité électrique des hétérostructures en ajustant les paramètres de dépôt à partir de corrélations entre résultats électriques et propriétés physico-chimiques (densité, stœchiométrie, environnement chimique…) et enfin à valider un procédé d'intégration du matériau dans la réalisation de MOSFET. La stabilité et la reproductibilité des mesures ont été atteintes grâce à une préparation de surface du substrat adaptée et grâce à l'introduction d'oxygène atomique pendant le dépôt de LaAlO3, permettant ainsi une homogénéisation des couches et une réduction des courants de fuite. Après optimisation des paramètres de dépôt, les meilleures structures présentent des EOTs de 8-9Å, une constante diélectrique de 16 et des courants de fuite de l'ordre de 10-2A/cm². Les caractérisations physico-chimiques fines des couches par XPS ont révélé des inhomogénéités de composition qui peuvent expliquer que le κ mesuré soit inférieur aux valeurs de LaAlO3 cristallin (20-25). Bien que les interfaces LAO/Si soient abruptes après le dépôt et que LaAlO3 soit thermodynamiquement stable vis-à-vis du silicium, le système LAO amorphe /Si s’est révélé instable pour des recuits post-dépôt effectués à des températures supérieures à 700°C. Un procédé de fabrication de MOSFETs aux dimensions relâchées a été défini pour tester les filières high-κ. Les premières étapes du procédé ont été validées pour LaAlO3. / Since MOS Field Effect Transistor invention in the 60's, the exploitation of this elementary piece of technology allowed an exponential evolution in the microelectronic field, with a frantic race towards miniaturization of CMOS electronic devices. In this context, the introduction of "high-κ" oxides (notably HfO2) allowed to cross the sub-nanometer barrier of EOT (Equivalent Oxide Thickness) for the gate oxide. Current work are notably related to "high-κ" research materials and processes that would allow an abrupt and thermodynamically stable interface with respect to silicon, that may lead to EOTs of about 5Å. The purpose of this thesis was to explore the potential of amorphous oxide LaAlO3 deposited on silicon by techniques of molecular beam epitaxy, combining studies of the physicochemical and electrical properties of this system. The thesis work has first consisted in defining procedures for the preparation of very thin (≈ 4 nm), robust and reproducible layers on Si in order to allow reliable electrical measurements then to optimize the electrical quality of the hetero-structures by adjusting deposition parameters from correlations between electrical results and physicochemical properties (density, stoichiometry, chemical environment...) and finally to validate a method for integrating the material in the realization of MOSFET. The stability and reproducibility of the measurements were achieved thanks to an adapted surface preparation of the substrate and by the introduction of atomic oxygen during the LaAlO3 deposition, thus allowing homogenization of layers and reducing leakage currents. After optimizing the deposition parameters, the best structures exhibit EOTs of 8-9 A, a dielectric constant of 16 and leakage currents in the range of 10-2 A/cm². Accurate physico-chemical characterizations of thin layers by XPS revealed composition inhomogeneities that can explain why the measured κ is less than values of crystalline LaAlO3 (20-25). Although the LAO/Si interfaces are steep after deposition and LaAlO3 is thermodynamically stable with respect to the silicon, amorphous system LAO/Si has proven unstable during post-deposition annealing carried out at temperatures above 700 ° C. A process for producing MOSFETs with released dimensions was defined to test high-κ field. The first stages of the process have been validated for LaAlO3.
135

Croissance épitaxiale d'oxydes "high-κ" sur silicium pour CMOS avancé : LaAlO3, Gd2O3, γ-Al2O3

Merckling, Clément 10 October 2007 (has links) (PDF)
La miniaturisation depuis 50 ans des composants, transistors MOSFET à base de silicium, dans les technologies CMOS est de plus en plus limité par l'apparition de phénomènes quantiques dans les dispositifs de taille sub-0,1 µm. L'épaisseur requise pour l'isolant de grille devenant trop faible, cela induit une très forte augmentation des courants de fuites à travers le diélectrique. Une solution pour résoudre ce problème est de remplacer la silice (SiO2), qui est l'isolant naturel du substrat de Si, par un autre matériau qui a une constante diélectrique plus élevée que celle de la silice. Avec ces oxydes « high-κ » on peut viser une épaisseur physique d'isolant plus élevée et donc diminuer les courants de fuites tout en maintenant la capacité surfacique du transistor constante. <br />Les solutions industrielles actuelles développées sont à base d'oxydes « high-κ » amorphes. Une alternative serait l'utilisation d'oxydes monocristallins épitaxiés directement sur silicium qui permettrait de retrouver les propriétés de l'oxyde massif et d'obtenir des interfaces abruptes sans présence de couches interfaciales. Cependant le choix du matériau est limité par le désaccord de maille avec le substrat et aussi par la compatibilité et la stabilité thermodynamique des oxydes vis-à-vis du Si. Les matériaux explorés dans cette thèse ont été LaAlO3 et Gd2O3 choisis pour leurs propriétés électroniques (constante diélectrique et discontinuités de bandes) et γ-Al2O3 choisi pour ses qualités thermodynamiques vis-à-vis du Si. La méthode d'élaboration utilisée a été l'épitaxie par jets moléculaires (EJM).<br />Nous avons tout d'abord commencé par étudier le système LaAlO3/Si. Après avoir défini les conditions optimales de croissance (température, pression d'oxygène et vitesse de croissance), par homoépitaxie (sur un substrat de LaAlO3(001)) et hétéroépitaxie (sur un substrat de SrTiO3(001)), nous avons exploré les possibilités de faire croître cet oxyde directement sur Si(001). N'ayant pas pu trouver de fenêtre de croissance compatible, une solution a été d'utiliser une fine couche interfaciale de SrO ou de SrTiO3 pour obtenir une phase solide de LaAlO3 sur Si. Cependant les limitations thermodynamiques de l'interface à base d'alcalino-terreux (Sr) rendent incompatible la réalisation de transistors CMOS. <br />Le deuxième oxyde étudié a été l'oxyde de gadolinium (Gd2O3). Si la croissance s'est révélée monodomaine et de très bonne qualité sur Si(111), nous avons observé une croissance bidomaine sur substrat de Si(001). Ceci provient de l'alignement des plans (110) de l'oxyde sur les plans (001) du Si, tournés de 90° à chaque marche de silicium, Nous avons alors montré que l'utilisation d'un substrat vicinal de Si(001) désorienté de 6° permet de favoriser qu'un seul domaine de Gd2O3. Malgré ses limitations (formation de silicate interfacial à hautes températures) le système Gd2O3/Si est actuellement considéré comme un des plus intéressants pour l'intégration dans les technologies CMOS.<br />Afin d'obtenir des interfaces abruptes et stables thermodynamiquement, nous avons exploré les possibilités offertes par l'oxyde γ-Al2O3. Après avoir mis en évidence la possibilité de faire croître un film fin de γ-Al2O3(001) pseudomorphe avec une interface cohérente, nous avons défini différents assemblages possibles combinant γ-Al2O3 et un oxyde « high-κ ». Une solution originale qui permet d'intégrer un oxyde « high-κ » cristallin sur Si avec une interface abrupte et stable a été proposée.
136

LaAlO3 amorphe déposé par épitaxie par jets moléculaires sur silicium comme alternative pour la grille high-κ des transistors CMOS

Pelloquin, Sylvain 09 December 2011 (has links) (PDF)
Depuis l'invention du transistor MOS à effet de champ dans les années 60, l'exploitation de cette brique élémentaire a permis une évolution exponentielle du domaine de la microélectronique, avec une course effrénée vers la miniaturisation des dispositifs électroniques CMOS. Dans ce contexte, l'introduction des oxydes "high-κ" (notamment HfO2) a permis de franchir la barrière sub-nanométrique de l'EOT (Equivalent Oxide Thickness) pour l'oxyde de grille. Les travaux actuels concernent notamment la recherche de matériaux "high-κ" et de procédés qui permettraient d'avoir une interface abrupte, thermodynamiquement stable avec le silicium, pouvant conduire à des EOTs de l'ordre de 5Å. L'objectif de cette thèse, était d'explorer le potentiel de l'oxyde LaAlO3 amorphe déposé sur silicium par des techniques d'Épitaxie par Jets Moléculaires, en combinant des études sur les propriétés physico-chimiques et électriques de ce système. Le travail de thèse a d'abord consisté à définir des procédures d'élaboration sur Si de couches très minces (≈4nm), robustes et reproductibles, afin de fiabiliser les mesures électriques, puis à optimiser la qualité électrique des hétérostructures en ajustant les paramètres de dépôt à partir de corrélations entre résultats électriques et propriétés physico-chimiques (densité, stœchiométrie, environnement chimique...) et enfin à valider un procédé d'intégration du matériau dans la réalisation de MOSFET. La stabilité et la reproductibilité des mesures ont été atteintes grâce à une préparation de surface du substrat adaptée et grâce à l'introduction d'oxygène atomique pendant le dépôt de LaAlO3, permettant ainsi une homogénéisation des couches et une réduction des courants de fuite. Après optimisation des paramètres de dépôt, les meilleures structures présentent des EOTs de 8-9Å, une constante diélectrique de 16 et des courants de fuite de l'ordre de 10-2A/cm². Les caractérisations physico-chimiques fines des couches par XPS ont révélé des inhomogénéités de composition qui peuvent expliquer que le κ mesuré soit inférieur aux valeurs de LaAlO3 cristallin (20-25). Bien que les interfaces LAO/Si soient abruptes après le dépôt et que LaAlO3 soit thermodynamiquement stable vis-à-vis du silicium, le système LAO amorphe /Si s'est révélé instable pour des recuits post-dépôt effectués à des températures supérieures à 700°C. Un procédé de fabrication de MOSFETs aux dimensions relâchées a été défini pour tester les filières high-κ. Les premières étapes du procédé ont été validées pour LaAlO3.
137

Modellierung und Charakterisierung des elektrischen Verhaltens von haftstellen-basierten Flash-Speicherzellen

Melde, Thomas 28 February 2012 (has links) (PDF)
Im Rahmen dieser Arbeit werden haftstellen-basierte Speicherzellen als mögliche Alternative zum bestehenden Floating-Gate Konzept untersucht. Hierbei wird zunächst mittels Simulation und ausgewählten Messverfahren das Verständnis der Funktionsweise vertieft. Der darauffolgende Abschnitt befasst sich mit der Verbesserung der elektrischen Eigenschaften, basierend auf Änderungen der verwendeten Materialien und dem räumlichen Aufbau. Abschließend erfolgt die Untersuchung der Anwendbarkeit des Zellkonzeptes in hochdichten Zellenfeldern.
138

Facile and Process Compatible Growth of High-k Gate Dielectric Materials (TiO2, ZrO2 and HfO2) on Si and the Investigation of these Oxides and their Interfaces by Deep Level Transient Spectroscopy

Kumar, Arvind January 2016 (has links) (PDF)
The continuous downscaling has enforced the device size and oxide thickness to few nanometers. After serving for several decades as an excellent gate oxide layer in complementary metal oxide semiconductor (CMOS) devices, the thickness of SiO2 layer has reached to its theoretical limits. Ultra-thin films of SiO2 can result in severe leakage currents due to direct tunneling as well as maintaining the homogeneity of the layers becomes an additional challenge. The use of a high- (HK) layer can solve these twin concerns of the semiconductor industry, which can also enhance the capacitance due to superior dielectric permittivity and reduce the leakage current by being thicker than the silicon dioxide. This thesis is concerned about the development of solution route fabricated high-k (TiO2, ZrO2 and HfO2) gate dielectrics and the investigation of high-/silicon interfaces by highly sensitive DLTS technique in MOS structures. The solution processing reduce the industrial fabrication cost and the DLTS method has the advantage to accurately measure the interface related defects parameters; such as interface trap density (Dit), capture cross-section (), activation energy (ET) and also distinguish between bulk and interface traps. In this thesis, HK films have been deposited by solution route, the material and electrical properties of the film and the HK/Si interface have been extensively evaluated. IN CHAPTER 1, we have summarized the history and evolution of transistor and it provides the background for the work presented in this thesis. IN CHAPTER 2, we have described the experimental method /technique used for the fabrication and characterization. The advantages and working principals of spin-coating and DLTS techniques are summarized. IN CHAPTER 3, we have presented the preparation and optimization of TiO2 based HK layer. Structural, surface morphology, optical electrical and dielectric properties are discussed in details. A high- 34 value is achieved for the 36 nm TiO2 films. IN CHAPTER 4, we presented the technologically relevant Si/TiO2 interface study by DLTS technique. The DLTS analysis reveals a small capture cross-section of the interface with acceptable interface state density. IN CHAPTER 5, we have focused on the fabrication of amorphous ZrO2 films on p-Si substrate. The advantage of amorphous dielectric layer is summarized as first dielectric reported SiO2 is used in its amorphous phase. The moderate-15 with low leakage current density is achieved. IN CHAPTER 6, the HfO2 films are prepared using hafnium isopropoxide and a high value of dielectric constant 23 is optimized with low leakage current density. The current conduction mechanisms are discussed in details. IN CHAPTER 7, we have probed the oxygen vacancy related sub-band-gap states in HfO2 by DLTS technique. IN CHAPTER 8, we have presented the summary of the dissertation and the prospect research directions are suggested. In summary, we have studied the group IVB transition metal elemental oxides (TMEO); TiO2, ZrO2 and HfO2 thin films in the MOS structure, as a possible replacement of SiO2 gate dielectric. For the TMEO films deposition a low-cost and simple method spin-coating was utilized. The film thicknesses are in the range of 35 – 39 nm, which was measured by ellipsometry and confirmed with the cross-sectional SEM. A rough surface of gate dielectric layer can trap the charge carrier and may cause the Fermi level pinning, which can cause the threshold voltage instabilities. Hence, surface roughness of oxide layer play an important role in CMOS device operation. We have achieved quite good flat surfaces (RMS surface roughness’s are 0.2 – 2.43 nm) for the films deposited in this work. The TiO2 based MOS gate stack shows an optimized high dielectric constant ( 34) with low leakage current density (3.710-7 A.cm-2 at 1 V). A moderate dielectric constant ( 15) with low leakage current density (4.710-9 A.cm-2 at 1 V) has been observed for the amorphous ZrO2 thin films. While, HfO2 based MOS gate stack shows reasonably high dielectric constant ( 23) with low leakage current density (1.410-8 A.cm-2 at 1 V). We have investigated the dominating current conduction mechanism and found that the current is mainly governed by space charge limited conduction (SCLC) mechanism for the high bias voltages, while low and intermediate bias voltages show the (Poole – Frenkel) PF and (Fowler – Nordheim) FN tunneling, respectively. For the HfO2 MOS device band alignment is drawn from the UPS and J-V measurements. The band gap and electron affinity of HfO2 films are estimated 5.9 eV and 3 eV, respectively, which gives a reasonable conduction band offset (1.05 eV) with respect to Si. A TMEO film suffers from a large number of intrinsic defects, which are mostly oxygen vacancies. These defects can create deep levels below the conduction band of high- dielectric material, which can act like a hole and electron traps. In addition to that, interface between Si and high- is an additional concern. These defect states in the band gap of high- or at the Si/ high- interface might lead to the threshold voltage shifts, lower carrier mobility in transistor channel, Fermi level pinning and various other reliability issues. Hence, we also studied bulk and interfacial defects present in the high- films on Si and their interface with Si by a very sensitive DLTS technique. The capture cross-sections are measured by insufficient filling DLTS (IF – DLTS). The defects present at the interface are Si dandling bond and defect in the bulk are mostly oxygen vacancies related defects present in various charge states. The interface states (Dit) are in the range of 2×1011 to 9×1011 eV-1cm-2, which are higher than the Al/SiO2/Si MOS devices (Dit in Al/SiO2/Si is the benchmark and in the order of 1010 eV-1cm-2). Still this is an acceptable value for Si/high-k (non-native oxide) MOS devices and consistent with other deposition methods. The capture cross-sections are found to be quite low in the order of 10-18 to 10-19 cm2, which indicate a minor impact on the device operation. The small value of capture cross-sections are attributed to the involvement of tunneling, to and from the bulk traps to the interface. In conclusion, the low cost solution processed high- thin films obtained are of high quality and find their importance as a potential dielectric layer. DLTS study will be helpful to reveal various interesting facts observed in high- such as resistive switching, magnetism and leakage current problems mediated by oxygen vacancy related defects
139

Modellierung und Charakterisierung des elektrischen Verhaltens von haftstellen-basierten Flash-Speicherzellen

Melde, Thomas 01 September 2010 (has links)
Im Rahmen dieser Arbeit werden haftstellen-basierte Speicherzellen als mögliche Alternative zum bestehenden Floating-Gate Konzept untersucht. Hierbei wird zunächst mittels Simulation und ausgewählten Messverfahren das Verständnis der Funktionsweise vertieft. Der darauffolgende Abschnitt befasst sich mit der Verbesserung der elektrischen Eigenschaften, basierend auf Änderungen der verwendeten Materialien und dem räumlichen Aufbau. Abschließend erfolgt die Untersuchung der Anwendbarkeit des Zellkonzeptes in hochdichten Zellenfeldern.:Kurzfassung Abstract 1 Einleitung 2 Grundlagen aktiver Halbleiterelemente 2.1 Die MOS-Struktur 2.2 Der MOS-Feldeffekt-Transistor 2.3 Nichtflüchtige Festkörperspeicher 2.4 Speicherarchitekturen 2.5 Charakterisierungsmethoden von Halbleiter-Speicherelementen 3 Defektbasierte Ladungsspeicherung in dielektrischen Schichten 3.1 Physikalische Grundlagen von Haftstellen 3.2 Betrachtung der vertikalen Ladungsverteilung mit Hilfe von Simulationen 3.3 Ableitung der vertikalen Ladungsverteilung aus Messungen 4 Elektrisches Verhalten einer haftstellen-basierten Speicherzelle 4.1 Auswirkung von inhomogen verteilter Ladung in der Speicherschicht 4.2 Auswirkungen von Al2O3-Topoxid auf das Zellverhalten 4.3 Auswirkung des Steuerelektrodenmaterials auf das Zellverhalten 4.4 Einfluss von Kanal- und Source/Drain-Dotierung 5 Integration in eine stark skalierte NAND Architektur 5.1 Auswirkung struktureller Effekte auf die Speicherzelle 5.2 Störmechanismen beim Betrieb von stark skalierten NAND-Speichern 6 Zusammenfassung und Ausblick 6.1 Zusammenfassung 6.2 Ausblick Danksagung Lebenslauf Symbol- und Abkürzungsverzeichnis Literaturverzeichnis
140

Διατάξεις παγίδευσης φορτίου (Memories) με τη χρήση νέων υλικών υψηλής διηλεκτρικής σταθεράς

Νικολάου, Νικόλαος 07 May 2015 (has links)
Στη παρούσα Διατριβή διερευνήθηκε η χρήση υλικών υψηλής διηλεκτρικής σταθεράς (high-k) ως οξειδίων ελέγχου σε διατάξεις παγίδευσης φορτίου τύπου MONOS (Μetal-Οxide-Νitride-Οxide-Silicon). Τα οξείδια που εξετάστηκαν ήταν το HfO2, τo ZrO2 και το Al2O3. Η ανάπτυξή τους πραγματοποιήθηκε με χρήση της μεθόδου εναπόθεσης ατομικού στρώματος (ALD). Οι ιδιότητες των δομών μνήμης μελετήθηκαν συναρτήσει: (α) των πρόδρομων μορίων της εναπόθεσης για τα HfO2 και ZrO2, (β) του οξειδωτικού μέσου της εναπόθεσης για την περίπτωση του Al2O3 και (γ) της επακόλουθης ανόπτησης. Η ηλεκτρική συμπεριφορά των δομών εξετάστηκε με την κατασκευή πυκνωτών τύπου MOS. Τα υμένια του HfO2 αναπτύχθηκαν επί διστρωματικής στοίβας SiO2/Si3N4 με (α) αλκυλαμίδιο του χαφνίου (ΤΕΜΑΗ) και Ο3 στους 275 oC, και (β) κυκλοπενταδιενύλιο του χαφνίου (HfD-04) και Ο3 στους 350 οC. Ομοίως, τα υμένια του ZrO2 αναπτύχθηκαν επί διστρωματικής στοίβας SiO2/Si3N4 με: (α) αλκυλαμίδιο του ζιρκονίου (ΤΕΜΑΖ) και Ο3 στους 275 oC και (β) κυκλοπενταδιενύλιο του ζιρκονίου (ZrD-04) με Ο3 στους 350 oC. Ο δομικός χαρακτηρισμός, για το HfO2, φανέρωσε πως η ύπαρξη ή όχι κρυσταλλικού χαρακτήρα και η σύσταση του οξειδίου εξαρτάται τόσο από το πρόδρομο μόριο αλλά και από την ανόπτηση (600 οC, 2 min). Αντίθετα, το ZrO2 έχει σε κάθε περίπτωση κρυσταλλικότητα. Τα ηλεκτρικά χαρακτηριστικά των πυκνωτών Si/SiO2/Si3N4/high-k/Pt, δείχνουν ότι οι δομές έχουν ικανοποιητική συμπεριφορά ως στοιχεία μνήμης αφού όλες οι ιδιότητες πληρούν τις βασικές προϋποθέσεις ως στοιχεία μνήμης, παρά την ανυπαρξία ενεργειακού φραγμού μεταξύ στρώματος παγίδευσης και οξειδίου ελέγχου. Η ικανότητα παγίδευσης και η επίδοση των δομών με HfO2 και ZrO2 δεν διαφοροποιούνται σημαντικά με χρήση διαφορετικού πρόδρομου μορίου ή με την ανόπτηση. Ο έλεγχος όμως της αντοχής των δομών σε επαναλαμβανόμενους παλμούς εγγραφής/διαγραφής αναδεικνύει ότι αμφότερες οι δομές που ανεπτύχθησαν με βάση το κυκλοπενταδιενύλιο έχουν μειωμένη αντοχή ηλεκτρικής καταπόνησης. Τo Al2O3 αναπτύχθηκε χρησιμοποιώντας το μόριο ΤΜΑ και ως οξειδωτικό μέσο: (α) H2O, (β) O3 και (γ) Plasma Ο2 (μέθοδος PE-ALD) σε συνδυασμό με ΤΜΑ. Οι δομές στην αρχική κατάσταση, χωρίς ανόπτηση, χαρακτηρίζονται από ισχυρό ρεύμα έγχυσης ηλεκτρονίων από την πύλη (υπό αρνητικές τάσεις) περιορίζοντας την ικανότητα φόρτισης και την επίδοση διαγραφής. Η ανόπτηση σε φούρνο και αδρανές περιβάλλον (850 ή 1050 oC, 15 min) προκάλεσε σημαντική βελτίωση των ηλεκτρικών χαρακτηριστικών των δομών λόγω του σημαντικού περιορισμού του παραπάνω φαινομένου. Μετά το στάδιο της ανόπτησης οι συνδυασμοί ΤΜΑ/Η2Ο και ΤΜΑ/Plasma Ο2 έχουν καλύτερες χαρακτηριστικές σε σχέση με αυτές του συνδυασμού ΤΜΑ/Ο3. Το φαινόμενο της διαρροής ηλεκτρονίων από την πύλη αποδίδεται στη μεγάλη συγκέντρωση και χωρική κατανομή του υδρογόνου στο υμένιο υψηλής διηλεκτρικής σταθεράς. Τέλος, διερευνήθηκε η τροποποίηση των ιδιοτήτων μνήμης των δομών με εμφύτευση ιόντων αζώτου χαμηλής ενέργειας και υψηλής δόσης στο Al2O3 και επακόλουθη ανόπτηση υψηλής θερμοκρασίας. Η παρουσία αζώτου στο υμένιο καθώς και ο χημικός δεσμός του εμφυτευμένου αζώτου είναι συνάρτηση της θερμοκρασίας ανόπτησης. Επομένως, οι ιδιότητες μνήμης εξαρτώνται από τη μορφή σύνδεσης και την συγκέντρωση του εμφυτευμένου αζώτου στο τροποποιημένο Al2O3. Η υψηλή θερμοκρασία ανόπτησης (1050 οC, 15 min) φαίνεται να αποφέρει δομές με τις καλύτερες ιδιότητες μνήμης. / This thesis studies the functionality of high-k oxides as blocking oxide layers in SONOS type charge-trap memory devices. The oxide materials that were examined were the HfO2, the ZrO2 and the Al2O3. All these blocking oxide layers were deposited by atomic layer deposition technique (ALD). The electrical performance of the trilayer stacks was examined using Pt-gate MOS-type capacitors. The properties of the memory structures were examined as a function of: (a) precursor chemistry of HfO2 and ZrO2 deposition, (b) the deposition oxidizing agent in the case of Al2O3 and (c) subsequent high temperature annealing steps. The HfO2 films were deposited on SiO2/Si3N4 bilayer stacks using: (a) hafnium alkylamide (TEMAH) and O3 at 275 oC, and (b) hafnium cyclopentadienyl (HfD-04) and O3 at 350 oC. Similarly the ZrO2 films were deposited by (a) zirconium alkylamide (TEMAZ) and O3 at 275 oC, and (b) zirconium cyclopentadienyl (ZrD-04) and O3 at 350 oC The structural characterization of the HfO2 showed that the crystallinity of the deposited high-k material depends on the precursor choice and the post deposition annealing step (600 °C, 2 min). On the contrary ZrO2 is deposited in a crystalline phase independent of the deposition conditions and the choice of the precursors. The electrical characterization of Si/SiO2/Si3N4/high-k/Pt capacitors showed that all fabricated structures operate well as memory elements, despite the absence of an energy barrier between the trapping layer and control oxide. The trapping efficiency and the performance of structures with HfO2 or ZrO2 blocking layers do not revealed a dependence upon the precursor chemistry. However, endurance testing using continuous write/erase pulses showed that both structures deposited by cyclopentadienyl precursors cannot sustain the resulting electrical stress. The Al2O3 layers were deposited using the TMA molecule while three different oxidizing agents were used: (a) H2O, (b) O3 and (c) oxygen plasma. Electrical testing of the resulting Pt-gate trilayer capacitors showed that in the deposited condition all three samples were characterized by gate electrode induced electron leakage currents in the negative bias regime, which completely masked the substrate hole injection effects. This effect limits the performance and the functionality of the memory stacks. After a high temperature annealing step (850 or 1050 oC, 15 min) this leakage current is reduced significantly and the stacks can function as memory elements. The results point to suggest that after annealing the best performance is exhibited by the TMA/H2O and TMA/Plasma O2 samples. The effect of gate induced electron leakage current is attributed to hydrogen related contamination, which has been verified by ToF-ERDA in depth profile measurements, at least for the case of TMA/H2O samples. The modification of the memory properties of the SiO2/Si3N4/Al2O3 stacks was also investigated using low energy and high fluence nitrogen implantation into Al2O3 layer. The concentration and the chemical bonding of the implanted nitrogen is a function of annealing temperature. The memory properties of the stack depend therefore on the chemical bonding and the concentration of the remaining nitrogen in the modified Al2O3. The high temperature annealing (1050 oC, 15 min) appears to provide the structures with improved memory properties in terms of retention and fast erase performance.

Page generated in 0.0352 seconds