• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 15
  • 3
  • 3
  • Tagged with
  • 21
  • 20
  • 18
  • 17
  • 14
  • 9
  • 8
  • 8
  • 8
  • 8
  • 7
  • 7
  • 7
  • 7
  • 6
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
11

Silicium-Nanopartikel

Heimfarth, Jan 17 February 2010 (has links) (PDF)
Die Arbeit befasst sich mit dem chemischen Verhalten von Oberflächen an Siliciumnanopartikeln. Diese stehen in ihrer Reaktivität, aufgrund des großen Verhältnisses Oberfläche zu Volumen, zwischen einzelnen Atomen und ausgedehnten Kristallen. Durch Umsetzung mit unterschiedlich funktionalisierten Molekülen gelingt es, die Oberfläche der Partikel zu modifizieren. Dabei wurde eine neue Möglichkeit gefunden, Si-C-Bindungen auf Si-Oberflächen zu erzeugen. Die Modifizierung mit Wasserstoff (durch Behandlung mit HF) oder mit Chlor (durch Umsetzung mit Chlorierungsmitteln) schafft neue, synthetisch wertvolle Ausgangssituationen. Darauf aufbauend konnten Alkyl, Alkoxy- und Amingruppen kovalent angeknüpft werden. Die chemische Modifizierung der Nanopartikel führt zu verändertem Photoleitfähigkeits- sowie Photolumineszenzverhalten. Es wurde ein Vorschlag zur Deutung dieser Effekte entwickelt.
12

High-defect hydrophilic carbon cuboids anchored with Co/CoO nanoparticles as highly efficient and ultra-stable lithium-ion battery anodes

Sun, Xiaolei, Hao, Guang-Ping, Lu, Xueyi, Xi, Lixia, Liu, Bo, Si, Wenping, Ma, Chuansheng, Liu, Qiming, Zhang, Qiang, Kaskel, Stefan, Schmidt, Oliver G. 06 April 2017 (has links)
We propose an effective strategy to engineer a unique kind of porous carbon cuboid with tightly anchored cobalt/cobalt oxide nanoparticles (PCC–CoOx) that exhibit outstanding electrochemical performance for many key aspects of lithium-ion battery electrodes. The host carbon cuboid features an ultra-polar surface reflected by its high hydrophilicity and rich surface defects due to high heteroatom doping (N-/O-doping both higher than 10 atom%) as well as hierarchical pore systems. We loaded the porous carbon cuboid with cobalt/cobalt oxide nanoparticles through an impregnation process followed by calcination treatment. The resulting PCC–CoOx anode exhibits superior rate capability (195 mA h g−1 at 20 A g−1) and excellent cycling stability (580 mA h g−1 after 2000 cycles at 1 A g−1 with only 0.0067% capacity loss per cycle). Impressively, even after an ultra-long cycle life exceeding 10 000 cycles at 5 A g−1, the battery can recover to 1050 mA h g−1 at 0.1 A g−1, perhaps the best performance demonstrated so far for lithium storage in cobalt oxide-based electrodes. This study provides a new perspective to engineer long-life, high-power metal oxide-based electrodes for lithium-ion batteries through controlling the surface chemistry of carbon host materials.
13

Catalytic activity of ceria surfaces studied by density functional theory

Kropp, Thomas 26 July 2016 (has links)
Unter Verwendung von Dichtefunktionaltheorie werden die katalytischen Eigenschaften von Cerdioxidoberflächen mit verschiedenen Terminierungen untersucht. Cerdioxid wird auch als Trägermaterial in der heterogenen Katalyse eingesetzt, um Aktivität, Selektivität und Stabilität der aktiven Komponente zu erhöhen. In dieser Arbeit werden geträgerte Vanadiumoxidcluster diskutiert. Dabei wird die oxidative Dehydrierung von Methanol als Modellreaktion zur Aktivierung von C-H-Bindungen genutzt. Ceroxidpartikel werden oft in wässriger Lösung synthetisiert. Damit hängt die Form der Nanokristallite direkt von der relativen Stabilität der unterschiedlichen Terminierungen in der Gegenwart von Wasser ab. Außerdem ist Wasser an zahlreichen Reaktionen entweder als Produkt, Edukt oder Lösungsmittel beteiligt. Aus diesem Grund werden auch die Wasser-Oberflächenwechselwirkungen untersucht. Des Weiteren wird die Genauigkeit von drei verschiedenen Funktionalen (B3LYP, HSE und PBE+U) durch den Vergleich mit experimentellen Daten evaluiert. Diese beinhalten Barrieren, die mittels Temperatur-programmierter Desorptionsspektroskopie erhalten wurden, und Schwingungsspektren. / Density functional theory is applied to study the catalytic properties of ceria surfaces with different terminations. Ceria is also used as a support material in heterogeneous catalysis to improve activity, selectivity, and stability of the active component. In this work, supported vanadia clusters are discussed. The oxidative dehydrogenation of methanol is used as a model reaction for C–H bond activation. Ceria catalysts are often prepared in aqueous solution. As a result, the shape of ceria nanocrystallites depends on the relative stability of the different surface terminations in the presence of water. Furthermore, many reactions involve water either as a product, as a reagent, or as a solvent. Hence, water–surface interactions are studied as well. Furthermore, the accuracy of three different functionals (B3LYP, HSE, and PBE+U) is assessed by comparison to experimental data such as barriers obtained via temperature-programmed desorption and infrared spectra.
14

Surface structure predictions and development of global exploration tools

Wlodarczyk, Radoslaw Stanislaw 18 May 2015 (has links)
Diese Arbeit ist ein Beitrag zur theoretischen Chemie sowie zur Oberflächenchemie. Durch Kombination von computergestützten und experimentellen Untersuchungen wird die atomare Struktur von dünnen SiO2-Filmen auf Ru(0001)-Unterlagen, von eisendotierten SiO2-Filmen auf diesen Unterlagen und von H2O-Filmen auf MgO(001)-Oberflächen bestimmt. Die atomaren Strukturmodelle wurden entweder mit dem neu entworfenen und im Paket DoDo implementierten genetischen Algorithmus oder mittels auf Sachkenntnis gestützter Vermutungen erhalten. Die simulierten Eigenschaften der so erhaltenen Strukturen stimmen sehr gut mit den experimentellen Daten (Raster-Tunnel-Mikroskopie, Infrarot-Spektroskopie) überein. Die erfolgreiche Strukturbestimmung mithilfe des DoDo-Programms zeigt, dass genetische Algorithmen zur systematischen und extensiven Erkundung der Energielandschaften 2D-periodischer Systeme geeignet sind. / This work is a contribution in the field of theoretical chemistry and surface science. The joint computational and experimental studies investigated the atomic structure of ultrathin silica and iron-doped silica films formed on the Ru(0001) surface and water films formed on the MgO(001) surface. The atomic structure models were obtained using either the educated guess approach or the genetic algorithm that was designed and implemented within the DoDo package. The properties simulated for the resulting models are in a very good agreement with the experimental data (scanning tunnelling microscopy, infrared spectroscopy). The successful structure determination using the DoDo program shows that the genetic algorithm technique is capable of systematic and extensive exploration of the energy landscapes for 2D-periodic systems.
15

Surface chemistry of a Cu(I) beta-diketonate precursor and the atomic layer deposition of Cu2O on SiO2 studied by x-ray photoelectron spectroscopy

Dhakal, Dileep, Waechtler, Thomas, E. Schulz, Stefan, Gessner, Thomas, Lang, Heinrich, Mothes, Robert, Tuchscherer, Andre 07 July 2014 (has links) (PDF)
This article has been published online on 21st May 2014, in Journal of Vacuum Science & Technology A: Vac (Vol.32, Issue 4): http://scitation.aip.org/content/avs/journal/jvsta/32/4/10.1116/1.4878815?aemail=author DOI: 10.1116/1.4878815 This article may be accessed via the issue's table of contents at this link: http://scitation.aip.org/content/avs/journal/jvsta/32/4?aemail=author The surface chemistry of the bis(tri-n-butylphosphane) copper(I) acetylacetonate, [(nBu3P)2Cu(acac)], and the thermal atomic layer deposition (ALD) of Cu2O using this Cu precursor as reactant and wet oxygen as co-reactant on SiO2 substrates are studied by in-situ X-ray photoelectron spectroscopy (XPS). The Cu precursor was evaporated and exposed to the substrates kept at temperatures between 22 °C and 300 °C. The measured phosphorus and carbon concentration on the substrates indicated that most of the [nBu3P] ligands were released either in the gas phase or during adsorption. No disproportionation was observed for the Cu precursor in the temperature range between 22 °C and 145 °C. However, disproportionation of the Cu precursor was observed at 200 °C, since C/Cu concentration ratio decreased and substantial amounts of metallic Cu were present on the substrate. The amount of metallic Cu increased, when the substrate was kept at 300 °C, indicating stronger disproportionation of the Cu precursor. Hence, the upper limit for the ALD of Cu2O from this precursor lies in the temperature range between 145 °C and 200 °C, as the precursor must not alter its chemical and physical state after chemisorption on the substrate. 500 ALD cycles with the probed Cu precursor and wet O2 as co reactant were carried out on SiO2 at 145 °C. After ALD, in situ XPS analysis confirmed the presence of Cu2O on the substrate. Ex-situ spectroscopic ellipsometry indicated an average film thickness of 2.5 nm of Cu2O deposited with a growth per cycle of 0.05 Å/cycle. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) investigations depicted a homogeneous, fine, and granular morphology of the Cu2O ALD film on SiO2. AFM investigations suggest that the deposited Cu2O film is continuous on the SiO2 substrate.
16

Implementing Ion Imaging to Probe Chemical Kinetics and Dynamics at Surfaces

Neugebohren, Jannis 27 June 2018 (has links)
No description available.
17

In-situ XPS Investigation of ALD Cu2O and Cu Thin Films after Successive Reduction

Dhakal, Dileep, Waechtler, Thomas, E. Schulz, Stefan, Mothes, Robert, Moeckel, Stefan, Lang, Heinrich, Gessner, Thomas 07 July 2014 (has links)
This talk was presented in the 14th International Conference on Atomic Layer Deposition (ALD 2014) in Kyoto, Japan on 18th June 2014. Abstract Atomic Layer Deposition (ALD) is emerging as a ubiquitous method for the deposition of conformal and homogeneous ultra-thin films on complex topographies and large substrates in microelectronics. Electrochemical deposition (ECD) is the first choice for the deposition of copper (Cu) into the trenches and vias of the interconnect system for ULSI circuits. The ECD of Cu necessitates an electrically conductive seed layer for filling the interconnect structures. ALD is now considered as a solution for conformal deposition of Cu seed layers on very high aspect ratio (AR) structures also for technology nodes below 20 nm, since physical vapor deposition is not applicable for structures with high AR. Cu seed layer deposition by the reduction of Cu2O, which has been deposited from the Cu(I) β-diketonate [(nBu3P)2Cu(acac)] (1) used as Cu precursor, has been successfully carried out on different substrates like Ta, TaN, SiO2, and Ru [1, 2]. It was found that the subsequent gas-phase reduction of the Cu2O films can be aided by introducing catalytic amounts of a Ru precursor into the Cu precursor, so that metallic copper films could potentially obtained also on non-catalytic substrates [3, 4]. In this work, in situ X-ray photoelectron spectroscopy (XPS) investigation of the surface chemistry during Cu2O ALD from the mixture of 99 mol % of 1 and 1 mol % of [Ru(η5 C5H4SiMe3)(η5-C7H11)] (2) as ruthenium precursor, and the reduction of Cu2O to metallic Cu by formic acid carried out on SiO2 substrate are demonstrated. Oxidation states of the Cu in the film are identified by comparing the Cu Auger parameter (α) [5] with literature data. α calculated after ALD equals 362.2 eV and after reduction equals 363.8 eV, comparable to the Cu2O and metallic Cu in thin-films [6] respectively. In addition, <10 % of Cu(I), Cu(II), and Cu(OH)2 species are identified from the Cu 2p3/2 and Cu L3VV Auger spectrum after reduction. Consequently, the ALD Cu2O is successfully reduced to metallic copper by in-situ thermal reduction using formic acid. [1] T. Waechtler et al., J. Electrochem. Soc., 156 (6), H453 (2009). [2] T. Waechtler et al., Microelectron. Eng., 88, 684 (2011). [3] S. Mueller et al., Conference Proceedings SCD 2011, Semiconductor Conference Dresden, pp. 1-4. [4] T. Waechtler et al., US Patent Application Publication, US 2013/0062768. [5] C. D. Wagner, Faraday Discuss. Chem. Soc., 60, 291 (1975). [6] J. P. Espinós et al., J. Phys. Chem. B, 106, 6921 (2002).
18

Growth Monitoring of Ultrathin Copper and Copper Oxide Films Deposited by Atomic Layer Deposition / Untersuchungen zum Wachstum ultradünner Kupfer- und Kupferoxid Schichten mittels Atomlagenabscheidung

Dhakal, Dileep 25 October 2017 (has links) (PDF)
Atomic layer deposition (ALD) of copper films is getting enormous interest. Ultrathin Cu films are applied as the seed layer for electrochemical deposition (ECD) of copper in interconnect circuits and as the non-magnetic material for the realization of giant magnetoresistance (GMR) sensors. Particularly, Co/Cu multi-layered structures require sub 4.0 nm copper film thickness for obtaining strong GMR effects. The physical vapor deposition process for the deposition of the copper seed layers are prone to non-conformal coating and poor step coverage on side-walls and bottoms of trenches and vias, and presence of overhanging structures. This may cause failure of interconnections due to formation of voids after copper ECD. ALD is the most suitable technology for the deposition of conformal seed layers for the subsequent ECD in very high aspect ratio structures, also for the technology nodes below 20 nm. Surface chemistry during the ALD of oxides is quite well studied. However, surface chemistry during the ALD of pure metal is rather immature. This knowledge is necessary to optimize the process parameters, synthesize better precursors systems, and enhance the knowledge of existing metal ALD processes. The major goal of this work is to understand the surface chemistry of the used precursor and study the growth of ultrathin copper films using in-situ X-ray photoelectron spectroscopy (XPS). Copper films are deposited by ALD using the precursor mixture consisting of 99 mol% [(nBu3P)2Cu(acac)], as copper precursor and 1 mol% of Ru(η5 C7H11)(η5 C5H4SiMe3), as ruthenium precursor. The purpose in having catalytic amount of ruthenium precursor is to obtain the Ru doped Cu2O layers for subsequent reduction with formic acid at temperatures below 150 °C on arbitrary substrates. Two different approaches for the growth of ultrathin copper films have been studied in this dissertation. In the first approach, direct thermal ALD of copper has been studied by using H2 as co-reactant on Co as catalytic substrate. In the second approach, Ru-doped Cu2O is deposited by ALD using wet-O2 as co-reactant on SiO2 as non-catalytic substrate. The Ru-doped Cu2O is successfully reduced by using either formic acid or carbon-monoxide on SiO2. / Atomlagenabscheidung (ALD) von Kupfer steht im Fokus der ALD Gemeinschaft. Ultradünne Kupferschichten können als Keimschicht für die elektrochemische Abscheidung (ECD) von Kupfer in der Verbindungstechnologie eingesetzt werden. Sie können ebenfalls für Sensoren, welche auf den Effekt des Riesenmagnetowiderstandes (GMR) basieren, als nicht-ferromagnetische Zwischenschicht verwendet werden. Insbesondere Multischichtstrukturen aus ferromagnetische Kobalt und Kupfer erfordern Schichtdicken von weniger als 4,0 nm, um einen starken GMR-Effekt zu gewährleisten. Das derzeit verwendete physikalische Dampfabscheidungsverfahren für ultradünne Kupferschichten, ist besonders anfällig für eine nicht-konforme Abscheidung an den Seitenwänden und Böden von Strukturen mit hohem Aspektverhältnis. Des Weiteren kann es zur Bildung von Löchern und überhängenden Strukturen kommen, welche bei der anschließenden Kupfer ECD zu Kontaktlücken (Voids) führen können. Für die Abscheidung einer Kupfer-Keimschicht ist die ALD besonders gut geeignet, da sie es ermöglicht, ultradünne konforme Schichten auf strukturierten Oberflächen mit hohem Aspektverhältnis abzuscheiden. Dies macht sie zu einer der Schlüsseltechnologien für Struckturgrößen unter 20 nm. Im Gegensatz zur Oberflächenchemie rein metallischer ALD sind die Oberflächenreaktionen für oxidische ALD Schichten sehr gut untersucht. Die Kenntnis der Oberflächenchemie während eines ALD Prozesses ist essenziel für die Bestimmung von wichtigen Prozessparametern als auch für die Verbesserung der Präkursorsynthese ansich. Diese Arbeit beschäftigt sich mit der Untersuchung der Oberflächenchemie und Charakterisierung des Wachstums von ultradünnen Metall-Cu-Schichten mittels In-situ XPS, welche eines indirekten (Oxid) bzw. direkten Metall-ALD Prozesses abgeschieden werden, wobei die Kupfer-Oxidschichten im Anschluss einem Reduktionsprozess unterworfen werden. Hierfür wird eine Präkursormischung bestehend aus 99 mol% [(nBu3P)2Cu(acac)] und 1 mol% [Ru(η5 C7H11)(η5-C5H4SiMe3)] verwendet. Die katalytische Menge an Ru, welche in der entstehenden Cu2O Schicht verbleibt, erhöht den Effekt der Reduktion der Cu2O Schicht auf beliebigen Substraten mit Ameinsäure bei Wafertemperaturen unter 150 °C. In einem ersten Schritt wird ein direkter thermisches Kupfer ALD-Prozess, unter Verwendung von molekularem Wasserstoff als Coreaktant, auf einem Kobalt-Substrat untersucht. In einem zweiten Schritt wird ein indirekter thermischer Cu2O-ALD-Prozess, unter gleichzeitiger Verwendung von Sauerstoff und Wasserdampf als Coreaktant, mit anschließender Reduktion durch Ameinsäure oder Kohlenstoffmonoxid zu Kupfer auf den gleichen Substraten betrachtet. Die vorliegende Arbeit beschreibt das Wachstum von ultradünnen und kontinuierlichen Kupfer-Schichten mittels thermischer ALD auf inerten- SiO2 und reaktiven Kobalt-Substraten.
19

Growth Monitoring of Ultrathin Copper and Copper Oxide Films Deposited by Atomic Layer Deposition

Dhakal, Dileep 16 December 2016 (has links)
Atomic layer deposition (ALD) of copper films is getting enormous interest. Ultrathin Cu films are applied as the seed layer for electrochemical deposition (ECD) of copper in interconnect circuits and as the non-magnetic material for the realization of giant magnetoresistance (GMR) sensors. Particularly, Co/Cu multi-layered structures require sub 4.0 nm copper film thickness for obtaining strong GMR effects. The physical vapor deposition process for the deposition of the copper seed layers are prone to non-conformal coating and poor step coverage on side-walls and bottoms of trenches and vias, and presence of overhanging structures. This may cause failure of interconnections due to formation of voids after copper ECD. ALD is the most suitable technology for the deposition of conformal seed layers for the subsequent ECD in very high aspect ratio structures, also for the technology nodes below 20 nm. Surface chemistry during the ALD of oxides is quite well studied. However, surface chemistry during the ALD of pure metal is rather immature. This knowledge is necessary to optimize the process parameters, synthesize better precursors systems, and enhance the knowledge of existing metal ALD processes. The major goal of this work is to understand the surface chemistry of the used precursor and study the growth of ultrathin copper films using in-situ X-ray photoelectron spectroscopy (XPS). Copper films are deposited by ALD using the precursor mixture consisting of 99 mol% [(nBu3P)2Cu(acac)], as copper precursor and 1 mol% of Ru(η5 C7H11)(η5 C5H4SiMe3), as ruthenium precursor. The purpose in having catalytic amount of ruthenium precursor is to obtain the Ru doped Cu2O layers for subsequent reduction with formic acid at temperatures below 150 °C on arbitrary substrates. Two different approaches for the growth of ultrathin copper films have been studied in this dissertation. In the first approach, direct thermal ALD of copper has been studied by using H2 as co-reactant on Co as catalytic substrate. In the second approach, Ru-doped Cu2O is deposited by ALD using wet-O2 as co-reactant on SiO2 as non-catalytic substrate. The Ru-doped Cu2O is successfully reduced by using either formic acid or carbon-monoxide on SiO2. / Atomlagenabscheidung (ALD) von Kupfer steht im Fokus der ALD Gemeinschaft. Ultradünne Kupferschichten können als Keimschicht für die elektrochemische Abscheidung (ECD) von Kupfer in der Verbindungstechnologie eingesetzt werden. Sie können ebenfalls für Sensoren, welche auf den Effekt des Riesenmagnetowiderstandes (GMR) basieren, als nicht-ferromagnetische Zwischenschicht verwendet werden. Insbesondere Multischichtstrukturen aus ferromagnetische Kobalt und Kupfer erfordern Schichtdicken von weniger als 4,0 nm, um einen starken GMR-Effekt zu gewährleisten. Das derzeit verwendete physikalische Dampfabscheidungsverfahren für ultradünne Kupferschichten, ist besonders anfällig für eine nicht-konforme Abscheidung an den Seitenwänden und Böden von Strukturen mit hohem Aspektverhältnis. Des Weiteren kann es zur Bildung von Löchern und überhängenden Strukturen kommen, welche bei der anschließenden Kupfer ECD zu Kontaktlücken (Voids) führen können. Für die Abscheidung einer Kupfer-Keimschicht ist die ALD besonders gut geeignet, da sie es ermöglicht, ultradünne konforme Schichten auf strukturierten Oberflächen mit hohem Aspektverhältnis abzuscheiden. Dies macht sie zu einer der Schlüsseltechnologien für Struckturgrößen unter 20 nm. Im Gegensatz zur Oberflächenchemie rein metallischer ALD sind die Oberflächenreaktionen für oxidische ALD Schichten sehr gut untersucht. Die Kenntnis der Oberflächenchemie während eines ALD Prozesses ist essenziel für die Bestimmung von wichtigen Prozessparametern als auch für die Verbesserung der Präkursorsynthese ansich. Diese Arbeit beschäftigt sich mit der Untersuchung der Oberflächenchemie und Charakterisierung des Wachstums von ultradünnen Metall-Cu-Schichten mittels In-situ XPS, welche eines indirekten (Oxid) bzw. direkten Metall-ALD Prozesses abgeschieden werden, wobei die Kupfer-Oxidschichten im Anschluss einem Reduktionsprozess unterworfen werden. Hierfür wird eine Präkursormischung bestehend aus 99 mol% [(nBu3P)2Cu(acac)] und 1 mol% [Ru(η5 C7H11)(η5-C5H4SiMe3)] verwendet. Die katalytische Menge an Ru, welche in der entstehenden Cu2O Schicht verbleibt, erhöht den Effekt der Reduktion der Cu2O Schicht auf beliebigen Substraten mit Ameinsäure bei Wafertemperaturen unter 150 °C. In einem ersten Schritt wird ein direkter thermisches Kupfer ALD-Prozess, unter Verwendung von molekularem Wasserstoff als Coreaktant, auf einem Kobalt-Substrat untersucht. In einem zweiten Schritt wird ein indirekter thermischer Cu2O-ALD-Prozess, unter gleichzeitiger Verwendung von Sauerstoff und Wasserdampf als Coreaktant, mit anschließender Reduktion durch Ameinsäure oder Kohlenstoffmonoxid zu Kupfer auf den gleichen Substraten betrachtet. Die vorliegende Arbeit beschreibt das Wachstum von ultradünnen und kontinuierlichen Kupfer-Schichten mittels thermischer ALD auf inerten- SiO2 und reaktiven Kobalt-Substraten.
20

Multiscale Simulation of Metallic Copper and Copper Oxide Atomic Layer Deposition from Cu Beta-diketonates

Hu, Xiao 24 July 2018 (has links)
Copper (Cu) interconnects have been widely used to replace aluminum in ultra-large-scale integration due to low resistivity and superior resistance to electromigration. Current processes for the fabrication of interconnects require thin Cu seed layers before the subsequent Cu filling by electrochemical deposition (ECD). It is crucial that these seed layers are coated conformally and smoothly in vias and trenches, ensuring that the ECD Cu films are free of voids. With the continuous scaling down of device dimensions, atomic layer deposition (ALD) has been considered as the most promising technology for making the Cu seed layers, because of its excellent conformality and precise thickness control. This dissertation is dedicated to the multiscale simulation of Cu ALD using the Cu beta-diketonate precursors (nBu3P)2Cu(acac) and Cu(acac)2. Different co-reactants (H, H2, H2O, O3 and wet O2) were investigated with respect to their application for the ALD of metallic Cu and Cu oxides. While Cu beta-diketonates have been widely applied in ALD, the mechanistic details of the surface reactions are still largely unknown. Ab initio calculations were performed to obtain the input data for reactive molecular dynamics (RMD) simulations and thermodynamic modeling, which were realized at the molecular-scale and macroscale, respectively. / Kupferleitbahnen werden in höchstintegrierten Schaltkreisen aufgrund des niedrigen spezifischen Widerstands und der sehr guten Beständigkeit gegen Elektromigration verwenden. Aktuelle Verfahren zur Leitbahnherstellung erfordern dünne Cu Keimschichten vor der anschließenden Cu Füllung durch die elektrochemische Abscheidung (ECD). Dabei ist es entscheidend, dass diese Keimschichten konform und glatt in den Vias und Gräben abgeschieden werden können, so dass die ECD Cu-Filme frei von Hohlräumen sind. Mit der weiteren Skalierung wird die Atomlagenabscheidung (ALD) mit ihrer hohen Konformalität und der ausgezeichneten Dickensteuerung als die vielversprechendste Technik zur Herstellung der Cu Keimschichten betrachtet. Die vorliegende Dissertation ist der Multiskalensimulation der ALD von metallischem Kupfer und Kupferoxiden aus Cu-beta-Diketonat Präkursoren (nBu3P)2Cu(acac) und Cu(acac)2 gewidmet. Verschiedene Koreaktanden H, H2, H2O, O3 und feuchtes O2 werden hinsichtlich ihrer Anwendung für die ALD von metallischem Kupfer oder Kupferoxid untersucht. Die Mechanismen der Oberflächenreaktionen dieser Präkursoren sind noch weitgehend unbekannt, obwohl die Cu Beta-Diketonate in der ALD bereits breite Verwendung finden. Ab-initio-Rechnungen wurden durchgeführt, um die Eingangsdaten für die reaktive Molekulardynamiksimulation und die thermodynamische Modellierung zu erhalten, die sowohl auf molekularer wie auch auf makroskopischer Ebene durchgeführt wurden.

Page generated in 0.4556 seconds