• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 19
  • 7
  • 6
  • 5
  • 2
  • 1
  • 1
  • Tagged with
  • 59
  • 11
  • 8
  • 7
  • 7
  • 6
  • 6
  • 6
  • 5
  • 5
  • 5
  • 5
  • 5
  • 5
  • 5
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
51

Fyzikální stárnutí polypropylénu / Physical ageing of polypropylene

Weiss, Jiří January 2011 (has links)
Physical aging of commercially produced polypropylene homopolymers Mosten was studied. The work is focused on monitoring the changes in physical properties of polymer in the time period 90 days from the date of preparation of the test specimen. The aging of polymers was realized at storage temperatures of 23 and 80 °C. The changes in crystallinity, yield strength, modulus, elongation and impact strength using DSC method, tensile tests and impact tests according to Charpy were monitored. Molecular weight distribution was determined by means of GPC at each polymer. Relationships between the changes in physical properties and polymer molecular weight were sought.
52

Controlled Synthesis and Characterization of Branched, Functionalized, and Cyclic Polymers

Chavan, Vijay S. 10 August 2011 (has links)
No description available.
53

The influence of acid and direct azo dyes and their intermediates on the degradation of wool keratin. The characterisation by yarn strength measurements of the degradation of wool under conditions relevant to dyeing and of the keratin degradation products, by fractionation, electrophoresis and amino acid analysis.

McComish, John January 1981 (has links)
The degradation of wool keratin under conditions relevant to those of wool dyeing was investigated using the techniques of gel permeation chromatography (GPC), ion exchange gel chromatography, and amino acid analysis. Physical testing of the treated and untreated wool was also carried out to determine the physical changes occurring, parameters used being percentage elongation at the break, and the breaking strain of the fibre. Samples of wool keratin were immersed in various aqueous solutions at 1000C for 24 hours and the filtered, aqueous, oxidised extracts were analysed* The solutions used varied only in the dye, or dye intermediate present in the treatment solution. All treatment baths contained 10% owf 1.02 x 10 -2 MSulphuric VI acid; 10%owf 7.04x 10 -3 MSodium sulphate VI ; A 100 :1 liquor ratio was used in each case. Some of the dye intermediates showed a marked catalytic effect, particularly in their effect on breaking strain, a decrease of 40% in some cases. The GPC profiles of the extracted proteins were examined in detail and compared against previous workers' results. An explanation of the behaviour of the dyes and intermediates was proposed. The amino acid composition data of the extracted and fractionated proteins were compared against various morphological components extracted by other workers, as was the total gelatin obtained from each treatment. / Science Research Council
54

Stanovení vybraných strobilurinových pesticidů v ječmeni, sladu a pivu / Analysis of selected strobilurine pesticides in barley, malt and beer

Stehlík, Pavel January 2008 (has links)
This diploma work is aimed determination of strobilurine pesticide in barly, malt and berr. Identifikation of strobilurine was made according to mass spectra library and base of commercialy standard. The next quantifikation in samples was made. The work consist of three main parts refer about problems. In therotical part is the method of plant, grown protection. This part is about pesticides and their fission, history and effects. In experimental part is method for determination strobilurine. In part results and discussion are all result and data in tables a graphs. At the end is sumed up result this work.
55

Bridging the Privacy Gap : a proposal for enhanced technical mechanisms to strengthen users' privacy control online in the age of GDPR and CCPA / Överbryggande av integritetsgapet : ett förslag till förbättrade tekniska mekanismer för att stärka användarnas integritetskontroll online i en tid av GDPR och CCPA

Bruhner, Carl Magnus January 2022 (has links)
In the age of the General Data Protection Regulation (GDPR) and the California Consumer Privacy Act (CCPA), privacy and consent control have become even more apparent for every-day users of the internet. Privacy banners in all shapes and sizes asks for your permission through more or less challenging designs and makes privacy control more of a struggle than actually helping the users’ privacy. This thesis presents a novel solution expanding on the Advanced Data Protection Control (ADPC) mechanism in order to bridge current gaps in user data and privacy control. It moves the consent control to the browser interface to give a seamless and hassle-free experience for users, while at the same time offering content providers a way to be legally compliant with legislation including the GDPR. Motivated by an extensive academic review to evaluate previous work and identify current gaps in user data control, the aim of this thesis is to present a blueprint for future implementation of suggested features to support privacy control online for users globally.
56

Parametric Optimal Design Of Uncertain Dynamical Systems

Hays, Joseph T. 02 September 2011 (has links)
This research effort develops a comprehensive computational framework to support the parametric optimal design of uncertain dynamical systems. Uncertainty comes from various sources, such as: system parameters, initial conditions, sensor and actuator noise, and external forcing. Treatment of uncertainty in design is of paramount practical importance because all real-life systems are affected by it; not accounting for uncertainty may result in poor robustness, sub-optimal performance and higher manufacturing costs. Contemporary methods for the quantification of uncertainty in dynamical systems are computationally intensive which, so far, have made a robust design optimization methodology prohibitive. Some existing algorithms address uncertainty in sensors and actuators during an optimal design; however, a comprehensive design framework that can treat all kinds of uncertainty with diverse distribution characteristics in a unified way is currently unavailable. The computational framework uses Generalized Polynomial Chaos methodology to quantify the effects of various sources of uncertainty found in dynamical systems; a Least-Squares Collocation Method is used to solve the corresponding uncertain differential equations. This technique is significantly faster computationally than traditional sampling methods and makes the construction of a parametric optimal design framework for uncertain systems feasible. The novel framework allows to directly treat uncertainty in the parametric optimal design process. Specifically, the following design problems are addressed: motion planning of fully-actuated and under-actuated systems; multi-objective robust design optimization; and optimal uncertainty apportionment concurrently with robust design optimization. The framework advances the state-of-the-art and enables engineers to produce more robust and optimally performing designs at an optimal manufacturing cost. / Ph. D.
57

Organization of Glucan Chains in Starch Granules as Revealed by Hydrothermal Treatment

Vamadevan, Varatharajan 07 June 2013 (has links)
Regular starches contain two principal types of glucan polymers: amylopectin and amylose. The structure of amylopectin is characterized according to the unit chain length profile and the nature of the branching pattern, which determine the alignment of glucan chains during biosynthesis. The organization of glucan chains in amylopectin and their impact on the structure of starch are still open to debate. The location of amylose and its exact contribution to the assembly of crystalline lamellae in regular and high-amylose starch granules also remain unknown. The primary focus of this thesis is the organization and flexibility of glucan chains in crystalline lamellae. The organization and flexibility of glucan chains in native, annealed (ANN), and heat-moisture treated (HMT) normal, waxy, hylon V, hylon VII, and hylon VIII corn starches were examined. This study has shown for the first time that increased amounts of apparent amylose in B-type starches hinder the polymorphic transition (from B to A+B) during HMT. The research has also demonstrated that an iodine-glucan complex transformed the B-type polymorphic pattern of hylon starches into a V-type pattern. The differential scanning calorimetry (DSC) results showed that ANN- and HMT-induced changes were most pronounced in hylon starches. These findings suggest that the glucan tie chains influences the assembly of crystalline lamellae in high-amylose starches. The relationship between the internal unit chain composition of amylopectin, and the thermal properties and annealing of starches from four different structural types of amylopectin was investigated by DSC. The onset gelatinization temperature (To) correlated negatively with the number of building blocks in clusters (NBbl) and positively with the inter-block chain length (IB-CL). The enthalpy of gelatinization (∆H) correlated positively with the external chain length of amylopectin. Annealing results showed that starches with a short IB-CL were most susceptible to ANN, as evidenced by a greater increase in the To and Tm. The increase in enthalpy was greater in starches with long external chains and IB-CLs. These data suggest that the internal organization of glucan chains in amylopectin determines the alignment of chains within the crystalline lamellae and thereby the thermal properties and annealing of the starch granules.
58

Evaluation of novel metalorganic precursors for atomic layer deposition of Nickel-based thin films / Evaluierung neuartiger metallorganischen Präkursoren für Atomlagenabscheidung von Nickel-basierten Dünnschichten

Sharma, Varun 04 June 2015 (has links) (PDF)
Nickel und Nickel (II) -oxid werden in großem Umfang in fortgeschrittenen elektronischen Geräten verwendet. In der Mikroelektronik-Industrie wird Nickel verwendet werden, um Nickelsilizid bilden. Die Nickelmono Silizid (NiSi) wurde als ausgezeichnetes Material für Source-Drain-Kontaktanwendungen unter 45 nm-CMOS-Technologie entwickelt. Im Vergleich zu anderen Siliziden für die Kontaktanwendungen verwendet wird NiSi wegen seines niedrigen spezifischen Widerstand, niedrigen Kontaktwiderstand, relativ niedrigen Bildungstemperatur und niedrigem Siliziumverbrauchs bevorzugt. Nickel in Nickelbasis-Akkus und ferromagnetischen Direktzugriffsspeicher (RAMs) verwendet. Nickel (II) oxid wird als Transistor-Gate-Oxid und Oxid in resistive RAM genutzt wird. Atomic Layer Deposition (ALD) ist eine spezielle Art der Chemical Vapor Deposition (CVD), das verwendet wird, um sehr glatte sowie homogene Dünnfilme mit hervorragenden Treue auch bei hohen Seitenverhältnissen abzuscheiden. Es basiert auf selbstabschließenden sequentielle Gas-Feststoff-Reaktionen, die eine präzise Steuerung der Filmdicke auf wenige Angström lassen sich auf der Basis. Zur Herstellung der heutigen 3D-elektronische Geräte, sind Technologien wie ALD erforderlich. Trotz der Vielzahl von praktischen Anwendungen von Nickel und Nickel (II) -oxid, sind einige Nickelvorstufen zur thermischen basierend ALD erhältlich. Darüber hinaus haben diese Vorstufen bei schlechten Filmeigenschaften führte und die Prozesseigenschaften wurden ebenfalls begrenzt. Daher in dieser Masterarbeit mussten die Eigenschaften verschiedener neuartiger Nickelvorstufen zu bewerten. Alle neuen Vorstufen heteroleptische (verschiedene Arten von Liganden) und Komplexe wurden vom Hersteller speziell zur thermischen basierend ALD aus reinem Nickel mit H 2 als ein Co-Reaktionsmittel gestaltet. Um die neuartige Vorläufer zu untersuchen, wurde eine neue Methode entwickelt, um kleine Mengen in einer sehr zeitsparend (bis zu 2 g) von Ausgangsstoffen zu testen. Diese Methodologie beinhaltet: TGA / DTA-Kurve analysiert der Vorstufen, thermische Stabilitätstests in dem die Vorläufer (<0,1 g) wurden bei erhöhter Temperatur in einer abgedichteten Umgebung für mehrere Stunden wurde die Abscheidung Experimenten und Film Charakterisierungen erhitzt. Die Abscheidungen wurden mit Hilfe der in situ Quarzmikrowaage überwacht, während die anwendungsbezogenen Filmeigenschaften, wie chemische Zusammensetzung, physikalische Phase, Dicke, Dichte, Härte und Schichtwiderstand wurden mit Hilfe von ex situ Messverfahren untersucht. Vor der Evaluierung neuartiger Nickelvorstufen ein Benchmark ALD-Prozess war vom Referenznickelvorläufer (Ni (AMD)) und Luft als Reaktionspartner entwickelt. Das Hauptziel der Entwicklung und Optimierung von solchen Benchmark-ALD-Prozess war es, Standard-Prozessparameter wie zweite Reaktionspartner Belichtungszeiten, Argonspülung Zeiten, gesamtprozessdruck, beginnend Abscheidungstemperatur und Gasströme zu extrahieren. Diese Standard-Prozessparameter mussten verwendet, um die Prozessentwicklung Aufgabe (das spart Vorläufer Verbrauch) zu verkürzen und die Sublimationstemperatur Optimierung für jede neuartige Vorstufe werden. Die ALD Verhalten wurde in Bezug auf die Wachstumsrate durch Variation des Nickelvorläuferbelichtungszeit, Vorläufer Temperatur und Niederschlagstemperatur überprüft. / Nickel and nickel(II) oxide are widely used in advanced electronic devices . In microelectronic industry, nickel is used to form nickel silicide. The nickel mono-silicide (NiSi) has emerged as an excellent material of choice for source-drain contact applications below 45 nm node CMOS technology. As compared to other silicides used for the contact applications, NiSi is preferred because of its low resistivity, low contact resistance, relatively low formation temperature and low silicon consumption. Nickel is used in nickel-based rechargeable batteries and ferromagnetic random access memories (RAMs). Nickel(II) oxide is utilized as transistor gate-oxide and oxide in resistive RAMs. Atomic Layer Deposition (ALD) is a special type of Chemical Vapor Deposition (CVD) technique, that is used to deposit very smooth as well as homogeneous thin films with excellent conformality even at high aspect ratios. It is based on self-terminating sequential gas-solid reactions that allow a precise control of film thickness down to few Angstroms. In order to fabricate todays 3D electronic devices, technologies like ALD are required. In spite of huge number of practical applications of nickel and nickel(II) oxide, a few nickel precursors are available for thermal based ALD. Moreover, these precursors have resulted in poor film qualities and the process properties were also limited. Therefore in this master thesis, the properties of various novel nickel precursors had to be evaluated. All novel precursors are heteroleptic (different types of ligands) complexes and were specially designed by the manufacturer for thermal based ALD of pure nickel with H 2 as a co-reactant. In order to evaluate the novel precursors, a new methodology was designed to test small amounts (down to 2 g) of precursors in a very time efficient way. This methodology includes: TGA/DTA curve analyses of the precursors, thermal stability tests in which the precursors (< 0.1 g) were heated at elevated temperatures in a sealed environment for several hours, deposition experiments, and film characterizations. The depositions were monitored with the help of in situ quartz crystal microbalance, while application related film properties like chemical composition, physical phase, thickness, density, roughness and sheet resistance were investigated with the help of ex situ measurement techniques. Prior to the evaluation of novel nickel precursors, a benchmark ALD process was developed from the reference nickel precursor (Ni(amd)) and air as a co-reactant. The main goal of developing and optimizing such benchmark ALD process was to extract standard process parameters like second-reactant exposure times, Argon purge times, total process pressure, starting deposition temperature and gas flows. These standard process parameters had to be utilized to shorten the process development task (thus saving precursor consumption) and optimize the sublimation temperature for each novel precursor. The ALD behaviour was checked in terms of growth rate by varying the nickel precursor exposure time, precursor temperature and deposition temperature.
59

Evaluation of novel metalorganic precursors for atomic layer deposition of Nickel-based thin films

Sharma, Varun 17 February 2015 (has links)
Nickel und Nickel (II) -oxid werden in großem Umfang in fortgeschrittenen elektronischen Geräten verwendet. In der Mikroelektronik-Industrie wird Nickel verwendet werden, um Nickelsilizid bilden. Die Nickelmono Silizid (NiSi) wurde als ausgezeichnetes Material für Source-Drain-Kontaktanwendungen unter 45 nm-CMOS-Technologie entwickelt. Im Vergleich zu anderen Siliziden für die Kontaktanwendungen verwendet wird NiSi wegen seines niedrigen spezifischen Widerstand, niedrigen Kontaktwiderstand, relativ niedrigen Bildungstemperatur und niedrigem Siliziumverbrauchs bevorzugt. Nickel in Nickelbasis-Akkus und ferromagnetischen Direktzugriffsspeicher (RAMs) verwendet. Nickel (II) oxid wird als Transistor-Gate-Oxid und Oxid in resistive RAM genutzt wird. Atomic Layer Deposition (ALD) ist eine spezielle Art der Chemical Vapor Deposition (CVD), das verwendet wird, um sehr glatte sowie homogene Dünnfilme mit hervorragenden Treue auch bei hohen Seitenverhältnissen abzuscheiden. Es basiert auf selbstabschließenden sequentielle Gas-Feststoff-Reaktionen, die eine präzise Steuerung der Filmdicke auf wenige Angström lassen sich auf der Basis. Zur Herstellung der heutigen 3D-elektronische Geräte, sind Technologien wie ALD erforderlich. Trotz der Vielzahl von praktischen Anwendungen von Nickel und Nickel (II) -oxid, sind einige Nickelvorstufen zur thermischen basierend ALD erhältlich. Darüber hinaus haben diese Vorstufen bei schlechten Filmeigenschaften führte und die Prozesseigenschaften wurden ebenfalls begrenzt. Daher in dieser Masterarbeit mussten die Eigenschaften verschiedener neuartiger Nickelvorstufen zu bewerten. Alle neuen Vorstufen heteroleptische (verschiedene Arten von Liganden) und Komplexe wurden vom Hersteller speziell zur thermischen basierend ALD aus reinem Nickel mit H 2 als ein Co-Reaktionsmittel gestaltet. Um die neuartige Vorläufer zu untersuchen, wurde eine neue Methode entwickelt, um kleine Mengen in einer sehr zeitsparend (bis zu 2 g) von Ausgangsstoffen zu testen. Diese Methodologie beinhaltet: TGA / DTA-Kurve analysiert der Vorstufen, thermische Stabilitätstests in dem die Vorläufer (<0,1 g) wurden bei erhöhter Temperatur in einer abgedichteten Umgebung für mehrere Stunden wurde die Abscheidung Experimenten und Film Charakterisierungen erhitzt. Die Abscheidungen wurden mit Hilfe der in situ Quarzmikrowaage überwacht, während die anwendungsbezogenen Filmeigenschaften, wie chemische Zusammensetzung, physikalische Phase, Dicke, Dichte, Härte und Schichtwiderstand wurden mit Hilfe von ex situ Messverfahren untersucht. Vor der Evaluierung neuartiger Nickelvorstufen ein Benchmark ALD-Prozess war vom Referenznickelvorläufer (Ni (AMD)) und Luft als Reaktionspartner entwickelt. Das Hauptziel der Entwicklung und Optimierung von solchen Benchmark-ALD-Prozess war es, Standard-Prozessparameter wie zweite Reaktionspartner Belichtungszeiten, Argonspülung Zeiten, gesamtprozessdruck, beginnend Abscheidungstemperatur und Gasströme zu extrahieren. Diese Standard-Prozessparameter mussten verwendet, um die Prozessentwicklung Aufgabe (das spart Vorläufer Verbrauch) zu verkürzen und die Sublimationstemperatur Optimierung für jede neuartige Vorstufe werden. Die ALD Verhalten wurde in Bezug auf die Wachstumsrate durch Variation des Nickelvorläuferbelichtungszeit, Vorläufer Temperatur und Niederschlagstemperatur überprüft.:Lists of Abbreviations and Symbols VIII Lists of Figures and Tables XIV 1 Introduction 1 I Theoretical Part 3 2 Nickel and Nickel Oxides 4 2.1 Introduction and Existence 5 2.2 Material properties of Nickel and Nickel Oxide 5 2.3 Application in electronic industry 5 3 Atomic Layer Deposition 7 3.1 History 8 3.2 Definition 8 3.3 Features of thermal-ALD 8 3.3.1 ALD growth mechanism – an ideal view 8 3.3.2 ALD growth behaviour 10 3.3.3 Growth mode 11 3.3.4 ALD temperature window 11 3.4 Benefits and limitations 12 3.5 Precursor properties for thermal-ALD 13 3.6 ALD & CVD of Nickel – A literature survey 13 4 Metrology 17 4.1 Thermal analysis of precursors 18 4.2 Film and growth characterization 21 4.2.1 Quartz Crystal Microbalance 21 4.2.2 Spectroscopic Ellipsometry 24 4.2.3 X-Ray Photoelectron Spectroscopy 28 4.2.4 Scanning Electron Microscopy 29 4.2.5 X-Ray Reflectometry and X-Ray Diffraction 29 4.2.6 Four Point Probe Technique 20 5 Rapid Thermal Processing 32 5.1 Introduction 33 5.2 Basics of RTP 33 5.3 Nickel Silicides-A literature survey 33 II Experimental Part 36 6 Methodologies 37 6.1 Experimental setup 38 6.2 ALD process 41 6.2.1 ALD process types and substrate setups 41 6.2.2 Process parameters 41 6.3 Experimental procedure 42 6.3.1 Tool preparation 42 6.3.2 Thermal analysis and ALD experiments from nickel precursors 43 6.3.3 Data acquisition and evaluation 44 6.3.4 Characterization of film properties 46 7 Results and discussion 48 7.1 Introduction 49 7.2 QCM verification with Aluminum Oxide ALD process 49 7.3 ALD process from the reference precursor 50 7.3.1 Introduction 50 7.3.2 TG analysis for Ni(amd) precursor 51 7.3.3 Thermal stability test for Ni(amd) 51 7.3.4 ALD process optimization 52 7.3.5 Film properties 54 7.4 Evaluating the novel Nickel precursors 55 7.4.1 Screening tests for precursor P1 55 7.4.2 Screening tests for precursor P2 62 7.4.3 Screening tests for precursor P3 66 7.4.4 Screening tests for precursor P4 70 7.4.5 Screening tests for precursor P5 72 7.5 Comparison of all nickel precursors used in this work 74 8 Conclusions and outlook 77 References 83 III Appendix 101 A Deposition temperature control & Ellipsometry model 102 B Gas flow plan 105 / Nickel and nickel(II) oxide are widely used in advanced electronic devices . In microelectronic industry, nickel is used to form nickel silicide. The nickel mono-silicide (NiSi) has emerged as an excellent material of choice for source-drain contact applications below 45 nm node CMOS technology. As compared to other silicides used for the contact applications, NiSi is preferred because of its low resistivity, low contact resistance, relatively low formation temperature and low silicon consumption. Nickel is used in nickel-based rechargeable batteries and ferromagnetic random access memories (RAMs). Nickel(II) oxide is utilized as transistor gate-oxide and oxide in resistive RAMs. Atomic Layer Deposition (ALD) is a special type of Chemical Vapor Deposition (CVD) technique, that is used to deposit very smooth as well as homogeneous thin films with excellent conformality even at high aspect ratios. It is based on self-terminating sequential gas-solid reactions that allow a precise control of film thickness down to few Angstroms. In order to fabricate todays 3D electronic devices, technologies like ALD are required. In spite of huge number of practical applications of nickel and nickel(II) oxide, a few nickel precursors are available for thermal based ALD. Moreover, these precursors have resulted in poor film qualities and the process properties were also limited. Therefore in this master thesis, the properties of various novel nickel precursors had to be evaluated. All novel precursors are heteroleptic (different types of ligands) complexes and were specially designed by the manufacturer for thermal based ALD of pure nickel with H 2 as a co-reactant. In order to evaluate the novel precursors, a new methodology was designed to test small amounts (down to 2 g) of precursors in a very time efficient way. This methodology includes: TGA/DTA curve analyses of the precursors, thermal stability tests in which the precursors (< 0.1 g) were heated at elevated temperatures in a sealed environment for several hours, deposition experiments, and film characterizations. The depositions were monitored with the help of in situ quartz crystal microbalance, while application related film properties like chemical composition, physical phase, thickness, density, roughness and sheet resistance were investigated with the help of ex situ measurement techniques. Prior to the evaluation of novel nickel precursors, a benchmark ALD process was developed from the reference nickel precursor (Ni(amd)) and air as a co-reactant. The main goal of developing and optimizing such benchmark ALD process was to extract standard process parameters like second-reactant exposure times, Argon purge times, total process pressure, starting deposition temperature and gas flows. These standard process parameters had to be utilized to shorten the process development task (thus saving precursor consumption) and optimize the sublimation temperature for each novel precursor. The ALD behaviour was checked in terms of growth rate by varying the nickel precursor exposure time, precursor temperature and deposition temperature.:Lists of Abbreviations and Symbols VIII Lists of Figures and Tables XIV 1 Introduction 1 I Theoretical Part 3 2 Nickel and Nickel Oxides 4 2.1 Introduction and Existence 5 2.2 Material properties of Nickel and Nickel Oxide 5 2.3 Application in electronic industry 5 3 Atomic Layer Deposition 7 3.1 History 8 3.2 Definition 8 3.3 Features of thermal-ALD 8 3.3.1 ALD growth mechanism – an ideal view 8 3.3.2 ALD growth behaviour 10 3.3.3 Growth mode 11 3.3.4 ALD temperature window 11 3.4 Benefits and limitations 12 3.5 Precursor properties for thermal-ALD 13 3.6 ALD & CVD of Nickel – A literature survey 13 4 Metrology 17 4.1 Thermal analysis of precursors 18 4.2 Film and growth characterization 21 4.2.1 Quartz Crystal Microbalance 21 4.2.2 Spectroscopic Ellipsometry 24 4.2.3 X-Ray Photoelectron Spectroscopy 28 4.2.4 Scanning Electron Microscopy 29 4.2.5 X-Ray Reflectometry and X-Ray Diffraction 29 4.2.6 Four Point Probe Technique 20 5 Rapid Thermal Processing 32 5.1 Introduction 33 5.2 Basics of RTP 33 5.3 Nickel Silicides-A literature survey 33 II Experimental Part 36 6 Methodologies 37 6.1 Experimental setup 38 6.2 ALD process 41 6.2.1 ALD process types and substrate setups 41 6.2.2 Process parameters 41 6.3 Experimental procedure 42 6.3.1 Tool preparation 42 6.3.2 Thermal analysis and ALD experiments from nickel precursors 43 6.3.3 Data acquisition and evaluation 44 6.3.4 Characterization of film properties 46 7 Results and discussion 48 7.1 Introduction 49 7.2 QCM verification with Aluminum Oxide ALD process 49 7.3 ALD process from the reference precursor 50 7.3.1 Introduction 50 7.3.2 TG analysis for Ni(amd) precursor 51 7.3.3 Thermal stability test for Ni(amd) 51 7.3.4 ALD process optimization 52 7.3.5 Film properties 54 7.4 Evaluating the novel Nickel precursors 55 7.4.1 Screening tests for precursor P1 55 7.4.2 Screening tests for precursor P2 62 7.4.3 Screening tests for precursor P3 66 7.4.4 Screening tests for precursor P4 70 7.4.5 Screening tests for precursor P5 72 7.5 Comparison of all nickel precursors used in this work 74 8 Conclusions and outlook 77 References 83 III Appendix 101 A Deposition temperature control & Ellipsometry model 102 B Gas flow plan 105

Page generated in 0.0315 seconds