• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 9
  • 3
  • Tagged with
  • 12
  • 12
  • 12
  • 6
  • 4
  • 4
  • 4
  • 4
  • 4
  • 4
  • 3
  • 3
  • 3
  • 3
  • 3
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
1

Study of Interactions Between Diffusion Barrier Layers and Low-k Dielectric Materials for Copper/Low-k Integration

Tong, Jinhong 12 1900 (has links)
The shift to the Cu/low-k interconnect scheme requires the development of diffusion barrier/adhesion promoter materials that provide excellent performance in preventing the diffusion and intermixing of Cu into the adjacent dielectrics. The integration of Cu with low-k materials may decrease RC delays in signal propagation but pose additional problems because such materials are often porous and contain significant amounts of carbon. Therefore barrier metal diffusion into the dielectric and the formation of interfacial carbides and oxides are of significant concern. The objective of the present research is to investigate the fundamental surface interactions between diffusion barriers and various low-k dielectric materials. Two major diffusion barriers¾ tatalum (Ta) and titanium nitride (TiN) are prepared by DC magnetron sputtering and metal-organic chemical vapor deposition (MOCVD), respectively. Surface analytical techniques, such as X-ray photoelectronic spectroscopy (XPS), transmission electron microscopy (TEM), and atomic force microscopy (AFM) are employed. Ta sputter-deposited onto a Si-O-C low dielectric constant substrate forms a reaction layer composed of Ta oxide and TaC. The composition of the reaction layer varies with deposition rate (1 Å-min-1 vs. 2 Å-sec-1), but in both cases, the thickness of the TaC layer is found to be at least 30 Å on the basis of XPS spectra, which is corroborated with cross-sectional TEM data. Sputter-deposited Cu will not wet the TaC layer and displays facile agglomeration, even at 400 K. Deposition for longer time at 2 Å-sec-1 results in formation of a metallic Ta layer. Sputter deposited Cu wets (grows conformally) on the metallic Ta surface at 300 K, and resists significant agglomeration at up to ~ 600 K. Cu diffusion into the substrate is not observed up to 800 K in the UHV environment. Tetrakis(diethylamido) titanium (TDEAT) interactions with SiO2, Cu and a variety of low-k samples in the presence (~ 10-7 Torr or co-adsorbed) and absence of NH3 result in different products. TDEAT interactions with SiO2 are dominated by Ti interactions with substrate oxygen sites, and that Ti oxide/sub-oxide bond formation can proceed with relatively low activation energy. No Ti carbide or Si carbide formation is observed. Co-adsorption of TDEAT and NH3 on SiO2 at 120K followed by annealing to higher temperature results in enhanced Ti-N bond formation, which is stable against oxidation up to 900K in UHV. Similarly, continuous exposures of TDEAT on SiO2 at 500K in the presence of NH3 exhibit a relatively enhanced Ti-N spectral component. Co-adsorption of NH3 and TDEAT on Cu (poly) surface at 120K, followed by annealing to 500K, results in complete desorption of Ti, N or C-containing species from the Cu substrate. Reaction of TDEAT with a Cu surface at 500K yields a Ti-alkyl species via a b-hydride elimination pathway. TDEAT/Cu interactions are not observably affected by overpressures of NH3 of 10-7 Torr. TDEAT interaction with a porous carbon doped oxide low-k substrate at 700K demonstrates undissociated or partly dissociated Ti-NR species trapped in the dielectrics matrix due to its high porosity. In addition, carbide formation is observed from C(1s) XPS spectra. For a hydrocarbon low-k film, the majority sites (carbon) are highly unreactive towards TDEAT even at higher temperature due to a lack of functional groups to initiate the TDEAT/low-k surface chemistry.
2

Plasma Interactions on Organosilicate Glass Dielectric Films and Emerging Amorphous Materials- Approach to Pore Sealing and Chemical Modifications

Kazi, Haseeb 05 1900 (has links)
In-situ x-ray photoemission (XPS) and ex-situ FTIR studies of nanoporous organosilicate glass (OSG) films point to the separate roles of radicals vs. VUV photons in the carbon abstraction. The studies indicate that reaction with O2 in presence of VUV photons (~123 nm) result in significant carbon abstraction within the bulk and that the kinetics of this process is diffusion-limited. In contrast, OSG exposed to atomic O (no VUV) results in Si-C bond scission and Si-O bond formation, but this process is self-limiting after formation of ~1 nm thick SiO2 surface layer that inhibits further diffusion. Therefore, the diffusion-dominated kinetics of carbon abstraction observed for OSG exposed to O2 plasma is definitively attributed to the diffusion of O2 down OSG nanopores, reacting at photo-activated sites, rather than to the diffusion of atomic O. Pretreatment of OSG by 900 eV Ar+ ion bombardment also results in formation of 1 nm thick SiO2-like surface overlayer that inhibits O2 diffusion, inhibiting VUV+O2 and O2 plasma-induced reactions, and that the effectiveness of this treatment increases with ion kinetic energy. On the contrary, organosilicate glass (OSG) films with backbone carbon (-Si-R-Si-) exhibit significantly enhanced resistance to carbon loss upon exposure to O2 plasma, radicals and VUV+O2 compared to films with terminal methyl groups (Si-CH3). Films incorporating backbone carbon chains (-Si-R-Si-) were deposited from 1,2 bis (triethoxysilyl) ethane (BTESE) precursor by ebeam or plasma cross-linking. The radical effects on BTESE film indicates negligible carbon loss or Si oxidation, combined with C-O bond formation, under conditions where OSG films with terminal methyl groups exhibit > 80% carbon loss within the surface region of the film. C-O bond formation is never observed for terminal CH3 groups. Further, backbone carbon (-Si-R-Si-) films exposed to VUV+O2 exhibit self-limiting, minimal net carbon loss. This indicates that plasma-induced Si-C bond rupture still occurs in the linking unit, but with a low probability of simultaneous rupture of both Si-C bonds required for abstraction of an in-line methylene bridging group. The data thus demonstrate that OSG films containing backbone carbon groups exhibit greatly reduced rates of carbon loss in the presence of O2 plasma, radicals or VUV+O2 compared to films with terminal carbon groups due to fundamentally different patterns of Si-C bond scission. The results demonstrate the potential of backbone carbon low-k films to resist plasma induced damage.
3

Transparent Tissues and Porous Thin Films: A Brillouin Light Scattering Study

Bailey, Sheldon T. 21 May 2013 (has links)
No description available.
4

Requirements and challenges on an alternative indirect integration regime of low-k materials

Haase, Micha, Ecke, Ramona, Schulz, Stefan E. 22 July 2016 (has links) (PDF)
An alternative indirect integration regime of porous low-k materials was investigated. Based on a single Damascene structure the intra level dielectric SiO2 or damaged ULK was removed by using HF:H2O solutions to create free standing metal lines. The free spaces between the metal lines were refilled with a spin-on process of a low-k material. The persistence of barrier materials and copper against HF solutions, the gap fill behavior of the used spin on glass on different structure sizes and the main challenges which have to solve in the future are shown in this study.
5

Analyse électrique de diélectriques SiOCH poreux pour évaluer la fiabilité des interconnexions avancées / Electrical analysis of porous SiOCH dielectrics to evaluate reliability of advanced interconnects

Verriere, Virginie 18 February 2011 (has links)
Avec la miniaturisation des circuits intégrés, le délai de transmission dû aux interconnexions a fortement augmenté. Pour limiter cet effet parasite, le SiO2 intégré en tant qu'isolant entre les lignes métalliques a été remplacé par des matériaux diélectriques à plus faible permittivité diélectrique dits Low-κ. La principale approche pour élaborer ces matériaux est de diminuer la densité en incorporant de la porosité dans des matériaux à base de SiOCH. L'introduction de ces matériaux peu denses a cependant diminué la fiabilité : sous tension, le diélectrique SiOCH poreux est traversé par des courants de fuite et peut claquer, générant des défaillances dans le circuit. La problématique pour l'industriel est de comprendre les mécanismes de dégradation du diélectrique Low-κ afin de déterminer sa durée de vie aux conditions de température et de tension de fonctionnement. Dans ce contexte, les travaux de cette thèse ont consisté à étudier les mécanismes de conduction liés aux courant de fuite afin d'extraire des paramètres quantitatifs représentatifs de l'intégrité électrique du matériau. Nous avons utilisé ces paramètres afin de suivre le vieillissement du matériau soumis à une contrainte électrique. Nous avons également introduit la spectroscopie d'impédance à basse fréquence comme moyen de caractérisation du diélectrique Low-κ. Cet outil nous a permis de caractériser le diélectrique intermétallique de façon non agressive et d'identifier des phénomènes de transport de charges et de diffusion métallique à très basses tensions qui offrent des perspectives pour l'étude de la fiabilité diélectrique des interconnexions. / With the miniaturization of integrated circuits, transmission delay due to interconnects is hardly increased. To minimize this parasitic effect, low-κ dielectric materials are requested to replace SiO2 as inter-metal dielectric between metallic lines. With its low density, porous SiOCH are good candidate for such applications. However, the implementation of these materials decreased reliability: under voltage, leakage currents establish through low-κ dielectric whose breakdown can generate failures in circuits. The problem for manufacturers is to understand the degradation mechanisms of porous SiOCH to determine its lifetime at conditions of nominal temperature and voltage. In this frame, conduction mechanisms of leakage currents have been studied during this thesis to extract quantitative parameters that represent the electrical integrity of the dielectric. We have used these parameters to monitor the electrical aging of the dielectric under electrical stress. We have proposed low-frequency impedance spectroscopy as characterization tool of low-κ. This tool allowed to characterize the intermetal dielectric non-destructively and to identify phenomenon of carriers transport and metallic diffusion at very low voltages that open perspectives for the study of dielectric reliability in interconnects.
6

Requirements and challenges on an alternative indirect integration regime of low-k materials

Haase, Micha, Ecke, Ramona, Schulz, Stefan E. 22 July 2016 (has links)
An alternative indirect integration regime of porous low-k materials was investigated. Based on a single Damascene structure the intra level dielectric SiO2 or damaged ULK was removed by using HF:H2O solutions to create free standing metal lines. The free spaces between the metal lines were refilled with a spin-on process of a low-k material. The persistence of barrier materials and copper against HF solutions, the gap fill behavior of the used spin on glass on different structure sizes and the main challenges which have to solve in the future are shown in this study.
7

Electron microscopic studies of low-k inter-metal dielectrics

Singh, Pradeep Kumar 26 September 2014 (has links) (PDF)
Die fortwährende Verkleinerung der Strukturbreiten in der Mikroelektronik erfordert es, herkömmliche SiO2 Dielektrika durch Materialien mit kleinerer Dielektrizitätskonstante zu ersetzen. Dafür sind verschiedene „low-k Materialien“ entwickelt worden. Unter diesen sind die Organosilikatgläser, die aus SiO2 Netzwerken mit eingelagerten Methylgruppen bestehen wegen ihrer ausgezeichneten Eigenschaften besonders interessant als Dielektrika zwischen metallischen Leiterbahnen. In dieser Arbeit sind fünf verschiedene dieser „low-k Materialien“ untersucht worden: ein dichtes und vier poröse Materialien, die alle durch plasmagestützte chemische Gasphasenabscheidung hergestellt wurden. Die strukturellen, chemischen und dielektrischen Eigenschaften der Materialien wurden mit Hilfe der analytischen Durchstrahlungselektronenmikroskopie unter Verwendung eines abbildenden GATAN-Energiespektrometers untersucht. Die Bestimmung der radialen Verteilungsfunktion (RDF) zur Charakterisierung der atomaren Nahordnung ermöglicht uns die Ermittlung mittlerer Bindungslängen und – winkel sowie der mikroskopischen Dichte des Materials. Gegenüber SiO2 wurden in den untersuchten „low-k Materialien“ stark veränderte mittlere Si-O, O-O und Si-Si Bindungslängen gefunden. Dieses wirkt sich natürlich auch auf die mittleren Si-O-Si bzw. O-Si-O Bindungswinkel aus, und wie erwartet war auch die mikroskopische Dichte der „low-k Materialien“ kleiner als die Dichte des SiO2. Elektronen Energieverlustspektroskopie (EELS) und Photoelektronenspektroskopie (XPS) wurden zur Charakterisierung der chemischen Umgebung der Atome in den „low-k Materialien“ herangezogen. Die Energien von Ionisationskanten und die Bindungsenergien der Silizium-2p und Sauerstoff-1s Elektronen waren in den „low-k Materialien“ größer als im SiO2. Die Kohlenstoffatome kamen in den „low-k Materialien“ sowohl sp2 als auch sp3 hybridisiert vor. sp2-Hybridisierung liegt vor, wenn Bindungen wie Si=CH2 und C=C im Netzwerk vorkommen, während sp3 Hybridisierung z.B. dann vorkommt, wenn freie Si-Bindungen durch –CH3 Gruppen abgesättigt werden. Die Anteile an sp2- bzw. sp3-hybridisierten Kohlenstoffatome wurden aus der Feinstruktur der K-Energieverlustkanten des Kohlenstoffs abgeschätzt. Das ergab, daß die meisten Kohlenstoffatome in den „low-k Materialien“ sp2-hybridisiert sind. Die dielektrischen Eigenschaften wurden durch Kramers-Kronig-Transformation einer Energieverlustfunktion ermittelt, die aus dem Niedrigverlust-EELS-Spektrum im Bereich der Plasmonenanregungen gewonnen wurde. Die Bandlücke des SiO2 beträgt ungefähr 9 eV während dichte „low-k Materialien“ aufgrund der Unregelmäßigkeiten in ihrem SiO2-Netzwerk zusätzliche Zustandsdichten innerhalb der Bandlücke aufweisen. Die Erzeugung von Poren im „low-k Material“ vermindert offenbar die Zustandsdichte im Bereich der Bandlücke und erweitert diese im Vergleich zum SiO2. Eine Modellrechnung mit der Dichtefunktionaltheorie für ein Strukturmodell, das den „low-k Materialien“ nahe kommt, ist zum Vergleich mit der experimentell gefundenen kombinierten Zustandsdichte herangezogen worden und zeigt eine gute Übereinstimmung. Die im Standard-Herstellungsprozeß vorkommenden Verfahren des Plasmaätzens und der Plasmaveraschung können die Struktur des „low-k Materials“ z.B. an den Seitenwänden von Ätzgräben verändern. Die gestörten Bereiche wurden mit der energiegefilterten Elektronenmikroskopie untersucht. Dabei wurde gefunden, daß sich die Strukturveränderungen der Seitenwände bis zu einer Tiefe in der Größenordnung von ungefähr 10 Nanometern erstrecken. Diese Bereiche sind verarmt an Kohlenstoff und ähneln folglich mehr einem SiO2-Dielektrikum. Die Kohlenstoffverarmung erstreckt sich in die „low-k Schicht“ in Form eines gaussartigen Profils mit maximaler Kohlenstoffkonzentration in der Mitte der Schicht. Die Sauerstoffkonzentration und die mikroskopische Dichte steigen in der Nähe der Seitenwände.
8

Ingénierie de jonctions tunnel pour améliorer les performances du transistor mono-électronique métallique / Tunnel junction engineering to improve metallic single electron transistor performances

El Hajjam, Khalil January 2016 (has links)
Résumé: Aujourd’hui plusieurs obstacles technologiques et limitations physiques s’opposent à la poursuite de la miniaturisation de la technologie CMOS : courants de fuite, effet de canal court, effet de porteurs chauds et fiabilité des oxydes de grille. Le transistor à un électron (SET) fait partie des composants émergents candidats pour remplacer les transistors CMOS ou pour constituer une technologie complémentaire à celle-ci. Ce travail de thèse traite de l’amélioration des caractéristiques électriques du transistor à un électron en optimisant ses jonctions tunnel. Cette optimisation commence tout d’abord par une étude des modes de conduction à travers la jonction tunnel. Elle se conclut par le développement d’une jonction tunnel optimisée basée sur un empilement de matériaux diélectriques (principalement Al[indice inférieur 2]O[indice inférieur 3], H[florin]O[indice inférieur 2] et TiO[indice inférieur 2]) ayant des propriétés différentes en termes de hauteurs de barrières et de permittivités relatives. Ce manuscrit présente, la formulation des besoins du SET et de ses jonctions tunnel, le développement d’outils de simulation appropriés - basés sur les Matrices de transmission - pour la simulation du courant des jonctions tunnel du SET, l’identification des stratégies d’optimisation de ces dernières, grâce aux simulations et finalement l’étude expérimentale et l’intégration technologique des jonctions tunnel optimisées dans le procédé de fabrication de SET métallique en utilisant la technique de dépôt par couches atomiques (ALD). Ces travaux nous ont permis de prouver l’intérêt majeur de l’ingénierie des jonctions tunnel du SET pour accroitre son courant à l’état passant, réduire son courant de fuite et étendre son fonctionnement à des températures plus élevées. / Abstract: Today, several technological barriers and physical limitations arise against the miniaturization of the CMOS: leakage current, short channel effects, hot carrier effect and the reliability of the gate oxide. The single electron transistor (SET) is one of the emerging components most capable of replacing CMOS technology or provide it with complementary technology. The work of this thesis deals with the improvement of the electrical characteristics of the single electron transistor by optimizing its tunnel junctions. This optimization initially starts with a study of conduction modes through the tunnel junction. It concludes with the development of an optimized tunnel junction based on a stack of dielectric materials (mainly Al[subscript 2]O[subscript 3], H[florin]O[subscript 2] and TiO[subscript 2]), having different properties in terms of barrier heights and relative permittivities. This document, therefore, presents the theoretical formulation of the SET’s requirements and of its tunnel junctions, the development of appropriate simulation tools - based on the transmission matrix model- for the simulation of the SET tunnel junctions current, the identification of tunnel junctions optimization strategies from the simulations results and finally the experimental study and technological integration of the optimized tunnel junctions into the metallic SET fabrication process using the atomic layer deposition (ALD) technique. This work allowed to démonstrate the significance of SET tunnel junctions engineering in order to increase its operating current while reducing leakage and improving its operation at higher temperatures.
9

Ingénierie de jonctions tunnel pour améliorer les performances du transistor mono-électronique métallique / Tunnel barrier engineering to enhance the performances of the metallic single electron transistor

Hajjam, Khalil El 03 December 2015 (has links)
Aujourd’hui plusieurs obstacles technologiques et limitations physiques s’opposent à la poursuite de la miniaturisation de la technologie CMOS : courants de fuite, effet de canal court, effet de porteurs chauds et fiabilité des oxydes de grille. Le transistor à un électron (SET) fait partie des composants émergents candidats pour remplacer les transistors CMOS ou pour constituer une technologie complémentaire à celle-ci. Ce travail de thèse traite de l’amélioration des caractéristiques électriques du transistor à un électron en optimisant ses jonctions tunnel. Cette optimisation commence tout d’abord par une étude des modes de conduction à travers la jonction tunnel. Elle se conclut par le développement d’une jonction tunnel optimisée basée sur un empilement de matériaux diélectriques (principalement Al2O3, HfO2 et TiO2) ayant des propriétés différentes en termes de hauteurs de barrières et de permittivités relatives. Ce manuscrit présente, la formulation des besoins du SET et de ses jonctions tunnel, le développement d’outils de simulation appropriés - basés sur les matrices de transmission - pour la simulation du courant des jonctions tunnel du SET, l’identification des stratégies d’optimisation de ces dernières, grâce aux simulations et finalement l’étude expérimentale et l’intégration technologique des jonctions tunnel optimisées dans le procédé de fabrication de SET métallique en utilisant la technique de dépôt par couches atomiques (ALD). Ces travaux nous ont permis de prouver l’intérêt majeur de l’ingénierie des jonctions tunnel du SET pour accroitre son courant à l’état passant, réduire son courant de fuite et étendre son fonctionnement à des températures plus élevées. / Today, several technological barriers and physical limitations arise against the miniaturization of the CMOS: leakage current, short channel effects, hot carrier effect and the reliability of the gate oxide. The single electron transistor (SET) is one of the emerging components most capable of replacing CMOS technology or provide it with complementary technology. The work of this thesis deals with the improvement of the electrical characteristics of the single electron transistor by optimizing its tunnel junctions. This optimization initially starts with a study of conduction modes through the tunnel junction. It concludes with the development of an optimized tunnel junction based on a stack of dielectric materials (mainly Al2O3, HfO2 and TiO2), having different properties in terms of barrier heights and relative permittivities. This document, therefore, presents the theoretical formulation of the SET’s requirements and of its tunnel junctions, the development of appropriate simulation tools - based on the transmission matrix model- for the simulation of the SET tunnel junctions current, the identification of tunnel junctions optimization strategies from the simulations results and finally the experimental study and technological integration of the optimized tunnel junctions into the metallic SET fabrication process using the atomic layer deposition (ALD) technique. This work allowed to demonstrate the significance of SET tunnel junctions engineering in order to increase its operating current while reducing leakage and improving its operation at higher temperatures.
10

Wetting Optimized Solutions for Plasma Etch Residue Removal for Application in Interconnect Systems of Integrated Circuits / Benetzungsoptimierte Reinigungslösungen für die Entfernung von Plasmaätzresiduen für die Anwendung im Verdrahtungssystem integrierter Schaltungen

Ahner, Nicole 28 March 2013 (has links) (PDF)
In multi-level Co/low-k based interconnect systems of ultralarge-scale integrated electronic devices the removal of plasma etch residues by common plasma cleaning processes has been shown to alter material properties like k-value and leakage current of the low-k dielectric. Besides the development of less damaging plasma processes their substitution by wet cleaning steps is in the focus of research and development. With further decreasing feature dimensions the development of wet cleaning processes has to include wetting issues like the non-wetting of small features due to the surface energy of the liquid or pattern collapse effects of low-k dielectric trenches due to high capillary forces This work at first focuses on the determination of the surface energetic character of common cleaning solutions for PERR and differently etched or ashed low-k dielectric surfaces by contact angle analysis, to outline which combinations of solid and liquid will be critical regarding their wetting behavior. Besides the determination of the wetting behavior the contact angle analysis turned out to be a fast and sensible analytic tool to understand the surface modifications introduced by different plasma processes and can help to understand the mechanisms of plasma damage of low-k dielectric surfaces. The analysis showed that especially polymerizing plasma etch processes produce a low-energetic low-k dielectric surface with a negligible polar energy contributions, which inhibits their wetting by high energetic water based cleaning solutions, which actually are favored by semiconductor manufacturers. The strategy to overcome these wetting issues followed in the present work is the reduction of the surface energy of the cleaning liquids by the application of surfactants. Several types of surfactants have been applied to the cleaning liquids and the compatibility of the surfactant solutions to BEOL materials like low-k dielectrics, copper and diffusion barriers as well as their dynamic behavior has been studied. The analysis showed that choosing the appropriate rinsing solution after the cleaning process is essential to ensure its compatibility to porous low-k dielectrics. Optical, electrical and structural data indicated that DIW rinse in most of the cases was not able to remove residual surfactant species within the material, while for an IPA rinse most of the residual surfactants have been removed. Considering the data received for compatibility to low-k materials, copper and barriers, the dynamic behavior of the surfactant solutions as well as influences of increased bath temperature and long term stability a general advice about surfactant selection and processing of surfactant aided solutions within BEOL is given. / In mehrlagigen Kupfer/low-k basierten Metallisierungssystemen hochintegrierter elektronischer Bauelemente kann die Entfernung von Residuen nach der Plasmastrukturierung des Dielektrikums mittels herkömmlicher Plasmareinigungsprozesse zur Schädigung der Isolatorschicht und damit zum Ansteigen der relativen Dielektrizitätszahl sowie der Leckströme führen. Neben der Entwicklung schädigungsarmer Plasmaprozesse stellt der Ersatz dieser Prozesse durch Nassreinigungsschritte zur Ätzresiduenentfernung eine vielversprechende Alternative dar. Mit stetig abnehmenden Strukturabmaßen ist bei der Entwicklung dieser Nassreinigungsprozesse neben der Materialkompatibilität auch das Benetzungsverhalten der Reinigungsflüssigkeit von entscheidender Bedeutung, da die Oberflächenenergie der Reinigungslösung das Eindringen dieser in kleinste Strukturen verhindern und es durch hohe Kapillarkräfte zum Kollaps von Grabenstrukturen im Dielektrikum kommen kann. In der vorliegenden Arbeit wurde zunächst mittels Kontaktwinkelanalyse die Oberflächenenergie verschieden prozessierter low-k Dielektrikaschichten sowie herkömmlicher Lösungen zur Entfernung von Ätzresiduen untersucht, um hinsichtlich ihres Benetzungsverhaltens besonders kritische Materialkombinationen aufzuzeigen. Neben der Bestimmung des Benetzungsverhaltens hat sich die Kontaktwinkelanalyse zur Oberflächenenergieberechnung als schnelle und empfindliche Methode zur Analyse der Auswirkung von Plasmaprozessen auf die Oberfläche von low-k Dielektrika erwiesen. Die Untersuchungen haben gezeigt, dass besonders polymerisierende Plasmaprozesse eine niederenergetische Oberfläche erzeugen, welche von den derzeit in der Halbleiterfertigung bevorzugten hochenergetischen wasserbasierten Reinigungslösungen nur schlecht benetzt wird. Um diesem Effekt entgegenzuwirken wurde in der vorliegenden Arbeit die Senkung der Oberflächenenergie der Reinigungslösungen durch Zugabe von Tensiden untersucht. Es wurden mehrere Tenside unterschiedlichen Typs den Reinigungsflüssigkeiten zugemischt und die Kompatibilität dieser Lösungen mit low-k Dielektrika, Kupferschichten und Diffusionsbarrieren untersucht sowie ihr dynamisches Verhalten analysiert. Dabei hat sich gezeigt, dass die Auswahl der geeigneten Spüllösung nach dem eigentlichen Reinigungsprozess von entscheidender Bedeutung ist. Optische, elektrische sowie strukturelle Daten deuten darauf hin, dass bei Verwendung einer Spülung mit deionisiertem Wasser in den meisten Fällen Tensidrückstände im porösen Dielektrikum verbleiben. Eine Spülung mit Isopropanol war hingegen in der Lage, einen Großteil dieser Tensidrückstände zu entfernen. Unter Einbeziehung der Daten zur Materialkompatibilität und dem dynamischen Verhalten der Tensidlösungen bei Raumtemperatur und erhöhter Badtemperatur sowie ihrer Langzeitstabilität konnte schließlich eine Prozessempfehlung für die Verwendung der benetzungsoptimierten Reinigungslösungen in der BEOL-Prozessierung gefunden werden.

Page generated in 0.1062 seconds