• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 27
  • 2
  • 1
  • 1
  • Tagged with
  • 31
  • 26
  • 26
  • 20
  • 18
  • 18
  • 18
  • 18
  • 18
  • 16
  • 16
  • 16
  • 14
  • 14
  • 14
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
21

Thin Films of Copper Oxide and Copper Grown by Atomic Layer Deposition for Applications in Metallization Systems of Microelectronic Devices

Wächtler, Thomas 02 June 2010 (has links) (PDF)
Copper-based multi-level metallization systems in today’s ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(tri-n-butylphosphane)copper(I)acetylacetonate [(nBu3P)2Cu(acac)]. This liquid, non-fluorinated β-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160°C. Typical ALD-like growth behavior arises between 100 and 130°C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and self-saturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent electrochemical copper deposition, the combination of ALD copper and ruthenium proves advantageous, especially with respect to the quality of the electroplated films and their filling behavior in interconnect structures. Furthermore, the ALD process developed also bears potential for an integration with carbon nanotubes. / Kupferbasierte Mehrlagenmetallisierungssysteme in heutigen hochintegrierten elektronischen Schaltkreisen erfordern die Herstellung von Diffusionsbarrieren und leitfähigen Keimschichten für die galvanische Metallabscheidung. Diese Schichten von nur wenigen Nanometern Dicke müssen konform und fehlerfrei in strukturierten Dielektrika abgeschieden werden. Die sich abzeichnende weitere Verkleinerung der geometrischen Dimensionen des Leitbahnsystems erfordert Beschichtungstechnologien, die vorhandene Nachteile der bisher etablierten Physikalischen Dampfphasenabscheidung beheben. Die Methode der Atomlagenabscheidung (ALD) ermöglicht es, Schichten im Nanometerbereich sowohl auf dreidimensional strukturierten Objekten als auch auf großflächigen Substraten gleichmäßig herzustellen. Die vorliegende Arbeit befasst sich daher mit der Entwicklung eines ALD-Prozesses zur Abscheidung von Kupferoxidschichten, ausgehend von der metallorganischen Vorstufe Bis(tri-n-butylphosphan)kupfer(I)acetylacetonat [(nBu3P)2Cu(acac)]. Dieses flüssige, nichtfluorierte β-Diketonat wird bei Temperaturen zwischen 100 und 160°C mit einer Mischung aus Wasserdampf und Sauerstoff zur Reaktion gebracht. ALD-typisches Schichtwachstum stellt sich in Abhängigkeit des gewählten Substrats zwischen 100 und 130°C ein. Auf Tantalnitrid- und Siliziumdioxidsubstraten werden dabei sehr glatte Schichten bei gesättigtem Wachstumsverhalten erhalten. Auch auf Rutheniumsubstraten werden gute Abscheideergebnisse erzielt, jedoch kommt es hier zu einer merklichen Durchmischung des ALD-Kupferoxids mit dem Untergrund. Tantalsubstrate führen zu einer schnellen Selbstzersetzung des Kupferprecursors, in dessen Folge neben geschlossenen Schichten während der ALD auch immer isolierte Keime oder größere Partikel erhalten werden. Die mittels ALD gewachsenen Kupferoxidschichten können in Gasphasenprozessen zu Kupfer reduziert werden. Wird Ameisensäure als Reduktionsmittel genutzt, können diese Prozesse bereits bei ähnlichen Temperaturen wie die ALD durchgeführt werden, so dass Agglomeration der Schichten weitgehend verhindert wird. Als besonders vorteilhaft für die Ameisensäure-Reduktion erweisen sich Rutheniumsubstrate. Auch für eine Integration mit nachfolgenden Galvanikprozessen zur Abscheidung von Kupfer zeigen sich Vorteile der Kombination ALD-Kupfer/Ruthenium, insbesondere hinsichtlich der Qualität der erhaltenen galvanischen Schichten und deren Füllverhalten in Leitbahnstrukturen. Der entwickelte ALD-Prozess besitzt darüber hinaus Potential zur Integration mit Kohlenstoffnanoröhren.
22

ALD-grown seed layers for electrochemical copper deposition integrated with different diffusion barrier systems

Waechtler, Thomas, Ding, Shao-Feng, Hofmann, Lutz, Mothes, Robert, Xie, Qi, Oswald, Steffen, Detavernier, Christophe, Schulz, Stefan E., Qu, Xin-Ping, Lang, Heinrich, Gessner, Thomas 18 May 2011 (has links) (PDF)
The deposition of Cu seed layers for electrochemical Cu deposition (ECD) via atomic layer deposition (ALD) of copper oxide and subsequent thermal reduction at temperatures between 110 and 120°C was studied on different diffusion barrier systems. While optimization of the process is required on TaN with respect to reduction and plating, promising results were obtained on blanket PVD Ru. The plating results on layers of ALD Cu with underlying Ru even outperformed the ones achieved on PVD Cu seed layers with respect to morphology and resistivity. Applying the processes to via and line patterns gave similar results, suggesting that a combination of ALD Cu with PVD or ALD-grown Ru could significantly improve the ECD Cu growth.
23

Growth Monitoring of Ultrathin Copper and Copper Oxide Films Deposited by Atomic Layer Deposition / Untersuchungen zum Wachstum ultradünner Kupfer- und Kupferoxid Schichten mittels Atomlagenabscheidung

Dhakal, Dileep 25 October 2017 (has links) (PDF)
Atomic layer deposition (ALD) of copper films is getting enormous interest. Ultrathin Cu films are applied as the seed layer for electrochemical deposition (ECD) of copper in interconnect circuits and as the non-magnetic material for the realization of giant magnetoresistance (GMR) sensors. Particularly, Co/Cu multi-layered structures require sub 4.0 nm copper film thickness for obtaining strong GMR effects. The physical vapor deposition process for the deposition of the copper seed layers are prone to non-conformal coating and poor step coverage on side-walls and bottoms of trenches and vias, and presence of overhanging structures. This may cause failure of interconnections due to formation of voids after copper ECD. ALD is the most suitable technology for the deposition of conformal seed layers for the subsequent ECD in very high aspect ratio structures, also for the technology nodes below 20 nm. Surface chemistry during the ALD of oxides is quite well studied. However, surface chemistry during the ALD of pure metal is rather immature. This knowledge is necessary to optimize the process parameters, synthesize better precursors systems, and enhance the knowledge of existing metal ALD processes. The major goal of this work is to understand the surface chemistry of the used precursor and study the growth of ultrathin copper films using in-situ X-ray photoelectron spectroscopy (XPS). Copper films are deposited by ALD using the precursor mixture consisting of 99 mol% [(nBu3P)2Cu(acac)], as copper precursor and 1 mol% of Ru(η5 C7H11)(η5 C5H4SiMe3), as ruthenium precursor. The purpose in having catalytic amount of ruthenium precursor is to obtain the Ru doped Cu2O layers for subsequent reduction with formic acid at temperatures below 150 °C on arbitrary substrates. Two different approaches for the growth of ultrathin copper films have been studied in this dissertation. In the first approach, direct thermal ALD of copper has been studied by using H2 as co-reactant on Co as catalytic substrate. In the second approach, Ru-doped Cu2O is deposited by ALD using wet-O2 as co-reactant on SiO2 as non-catalytic substrate. The Ru-doped Cu2O is successfully reduced by using either formic acid or carbon-monoxide on SiO2. / Atomlagenabscheidung (ALD) von Kupfer steht im Fokus der ALD Gemeinschaft. Ultradünne Kupferschichten können als Keimschicht für die elektrochemische Abscheidung (ECD) von Kupfer in der Verbindungstechnologie eingesetzt werden. Sie können ebenfalls für Sensoren, welche auf den Effekt des Riesenmagnetowiderstandes (GMR) basieren, als nicht-ferromagnetische Zwischenschicht verwendet werden. Insbesondere Multischichtstrukturen aus ferromagnetische Kobalt und Kupfer erfordern Schichtdicken von weniger als 4,0 nm, um einen starken GMR-Effekt zu gewährleisten. Das derzeit verwendete physikalische Dampfabscheidungsverfahren für ultradünne Kupferschichten, ist besonders anfällig für eine nicht-konforme Abscheidung an den Seitenwänden und Böden von Strukturen mit hohem Aspektverhältnis. Des Weiteren kann es zur Bildung von Löchern und überhängenden Strukturen kommen, welche bei der anschließenden Kupfer ECD zu Kontaktlücken (Voids) führen können. Für die Abscheidung einer Kupfer-Keimschicht ist die ALD besonders gut geeignet, da sie es ermöglicht, ultradünne konforme Schichten auf strukturierten Oberflächen mit hohem Aspektverhältnis abzuscheiden. Dies macht sie zu einer der Schlüsseltechnologien für Struckturgrößen unter 20 nm. Im Gegensatz zur Oberflächenchemie rein metallischer ALD sind die Oberflächenreaktionen für oxidische ALD Schichten sehr gut untersucht. Die Kenntnis der Oberflächenchemie während eines ALD Prozesses ist essenziel für die Bestimmung von wichtigen Prozessparametern als auch für die Verbesserung der Präkursorsynthese ansich. Diese Arbeit beschäftigt sich mit der Untersuchung der Oberflächenchemie und Charakterisierung des Wachstums von ultradünnen Metall-Cu-Schichten mittels In-situ XPS, welche eines indirekten (Oxid) bzw. direkten Metall-ALD Prozesses abgeschieden werden, wobei die Kupfer-Oxidschichten im Anschluss einem Reduktionsprozess unterworfen werden. Hierfür wird eine Präkursormischung bestehend aus 99 mol% [(nBu3P)2Cu(acac)] und 1 mol% [Ru(η5 C7H11)(η5-C5H4SiMe3)] verwendet. Die katalytische Menge an Ru, welche in der entstehenden Cu2O Schicht verbleibt, erhöht den Effekt der Reduktion der Cu2O Schicht auf beliebigen Substraten mit Ameinsäure bei Wafertemperaturen unter 150 °C. In einem ersten Schritt wird ein direkter thermisches Kupfer ALD-Prozess, unter Verwendung von molekularem Wasserstoff als Coreaktant, auf einem Kobalt-Substrat untersucht. In einem zweiten Schritt wird ein indirekter thermischer Cu2O-ALD-Prozess, unter gleichzeitiger Verwendung von Sauerstoff und Wasserdampf als Coreaktant, mit anschließender Reduktion durch Ameinsäure oder Kohlenstoffmonoxid zu Kupfer auf den gleichen Substraten betrachtet. Die vorliegende Arbeit beschreibt das Wachstum von ultradünnen und kontinuierlichen Kupfer-Schichten mittels thermischer ALD auf inerten- SiO2 und reaktiven Kobalt-Substraten.
24

Detailed Study of Copper Oxide ALD on SiO2, TaN, and Ru

Waechtler, Thomas, Schulze, Steffen, Hofmann, Lutz, Hermann, Sascha, Roth, Nina, Schulz, Stefan E., Gessner, Thomas, Lang, Heinrich, Hietschold, Michael 10 August 2009 (has links)
Copper films with a thickness in the nanometer range are required as seed layers for the electrochemical Cu deposition to form multilevel interconnects in ultralarge-scale integrated (ULSI) electronic devices. Continuously shrinking device dimensions and increasing aspect ratios of the dual-damascene structures in the copper-based metallization schemes put ever more stringent requirements on the films with respect to their conformality in nanostructures and thickness homogeneity across large wafers. Due to its intrinsic self-limiting film growth characteristic, atomic layer deposition (ALD) appears appropriate for homogeneously coating complex substrates and to replace conventional physical vapor deposition (PVD) methods beyond the 32 nm technology node. To overcome issues of direct Cu ALD, such as film agglomeration at higher temperatures or reduced step coverage in plasma-based processes, an ALD copper oxide film may be grown under mild processing conditions, while a subsequent reduction step converts it to metallic copper. In this poster, which was presented at the AVS 9th International Conference on Atomic Layer Deposition (ALD 2009), held in Monterey, California from 19 to 22 July 2009, we report detailed film growth studies of ALD copper oxide in the self-limiting regime on SiO2, TaN and Ru. Applications in subsequent electrochemical deposition processes are discussed, comparing Cu plating results on as-deposited PVD Ru as well as with PVD and reduced ALD Cu seed layer.
25

Thin Films of Copper Oxide and Copper Grown by Atomic Layer Deposition for Applications in Metallization Systems of Microelectronic Devices

Wächtler, Thomas 25 May 2010 (has links)
Copper-based multi-level metallization systems in today’s ultralarge-scale integrated electronic circuits require the fabrication of diffusion barriers and conductive seed layers for the electrochemical metal deposition. Such films of only several nanometers in thickness have to be deposited void-free and conformal in patterned dielectrics. The envisaged further reduction of the geometric dimensions of the interconnect system calls for coating techniques that circumvent the drawbacks of the well-established physical vapor deposition. The atomic layer deposition method (ALD) allows depositing films on the nanometer scale conformally both on three-dimensional objects as well as on large-area substrates. The present work therefore is concerned with the development of an ALD process to grow copper oxide films based on the metal-organic precursor bis(tri-n-butylphosphane)copper(I)acetylacetonate [(nBu3P)2Cu(acac)]. This liquid, non-fluorinated β-diketonate is brought to react with a mixture of water vapor and oxygen at temperatures from 100 to 160°C. Typical ALD-like growth behavior arises between 100 and 130°C, depending on the respective substrate used. On tantalum nitride and silicon dioxide substrates, smooth films and self-saturating film growth, typical for ALD, are obtained. On ruthenium substrates, positive deposition results are obtained as well. However, a considerable intermixing of the ALD copper oxide with the underlying films takes place. Tantalum substrates lead to a fast self-decomposition of the copper precursor. As a consequence, isolated nuclei or larger particles are always obtained together with continuous films. The copper oxide films grown by ALD can be reduced to copper by vapor-phase processes. If formic acid is used as the reducing agent, these processes can already be carried out at similar temperatures as the ALD, so that agglomeration of the films is largely avoided. Also for an integration with subsequent electrochemical copper deposition, the combination of ALD copper and ruthenium proves advantageous, especially with respect to the quality of the electroplated films and their filling behavior in interconnect structures. Furthermore, the ALD process developed also bears potential for an integration with carbon nanotubes. / Kupferbasierte Mehrlagenmetallisierungssysteme in heutigen hochintegrierten elektronischen Schaltkreisen erfordern die Herstellung von Diffusionsbarrieren und leitfähigen Keimschichten für die galvanische Metallabscheidung. Diese Schichten von nur wenigen Nanometern Dicke müssen konform und fehlerfrei in strukturierten Dielektrika abgeschieden werden. Die sich abzeichnende weitere Verkleinerung der geometrischen Dimensionen des Leitbahnsystems erfordert Beschichtungstechnologien, die vorhandene Nachteile der bisher etablierten Physikalischen Dampfphasenabscheidung beheben. Die Methode der Atomlagenabscheidung (ALD) ermöglicht es, Schichten im Nanometerbereich sowohl auf dreidimensional strukturierten Objekten als auch auf großflächigen Substraten gleichmäßig herzustellen. Die vorliegende Arbeit befasst sich daher mit der Entwicklung eines ALD-Prozesses zur Abscheidung von Kupferoxidschichten, ausgehend von der metallorganischen Vorstufe Bis(tri-n-butylphosphan)kupfer(I)acetylacetonat [(nBu3P)2Cu(acac)]. Dieses flüssige, nichtfluorierte β-Diketonat wird bei Temperaturen zwischen 100 und 160°C mit einer Mischung aus Wasserdampf und Sauerstoff zur Reaktion gebracht. ALD-typisches Schichtwachstum stellt sich in Abhängigkeit des gewählten Substrats zwischen 100 und 130°C ein. Auf Tantalnitrid- und Siliziumdioxidsubstraten werden dabei sehr glatte Schichten bei gesättigtem Wachstumsverhalten erhalten. Auch auf Rutheniumsubstraten werden gute Abscheideergebnisse erzielt, jedoch kommt es hier zu einer merklichen Durchmischung des ALD-Kupferoxids mit dem Untergrund. Tantalsubstrate führen zu einer schnellen Selbstzersetzung des Kupferprecursors, in dessen Folge neben geschlossenen Schichten während der ALD auch immer isolierte Keime oder größere Partikel erhalten werden. Die mittels ALD gewachsenen Kupferoxidschichten können in Gasphasenprozessen zu Kupfer reduziert werden. Wird Ameisensäure als Reduktionsmittel genutzt, können diese Prozesse bereits bei ähnlichen Temperaturen wie die ALD durchgeführt werden, so dass Agglomeration der Schichten weitgehend verhindert wird. Als besonders vorteilhaft für die Ameisensäure-Reduktion erweisen sich Rutheniumsubstrate. Auch für eine Integration mit nachfolgenden Galvanikprozessen zur Abscheidung von Kupfer zeigen sich Vorteile der Kombination ALD-Kupfer/Ruthenium, insbesondere hinsichtlich der Qualität der erhaltenen galvanischen Schichten und deren Füllverhalten in Leitbahnstrukturen. Der entwickelte ALD-Prozess besitzt darüber hinaus Potential zur Integration mit Kohlenstoffnanoröhren.
26

ALD-grown seed layers for electrochemical copper deposition integrated with different diffusion barrier systems

Waechtler, Thomas, Ding, Shao-Feng, Hofmann, Lutz, Mothes, Robert, Xie, Qi, Oswald, Steffen, Detavernier, Christophe, Schulz, Stefan E., Qu, Xin-Ping, Lang, Heinrich, Gessner, Thomas January 2011 (has links)
The deposition of Cu seed layers for electrochemical Cu deposition (ECD) via atomic layer deposition (ALD) of copper oxide and subsequent thermal reduction at temperatures between 110 and 120°C was studied on different diffusion barrier systems. While optimization of the process is required on TaN with respect to reduction and plating, promising results were obtained on blanket PVD Ru. The plating results on layers of ALD Cu with underlying Ru even outperformed the ones achieved on PVD Cu seed layers with respect to morphology and resistivity. Applying the processes to via and line patterns gave similar results, suggesting that a combination of ALD Cu with PVD or ALD-grown Ru could significantly improve the ECD Cu growth.
27

Growth Monitoring of Ultrathin Copper and Copper Oxide Films Deposited by Atomic Layer Deposition

Dhakal, Dileep 16 December 2016 (has links)
Atomic layer deposition (ALD) of copper films is getting enormous interest. Ultrathin Cu films are applied as the seed layer for electrochemical deposition (ECD) of copper in interconnect circuits and as the non-magnetic material for the realization of giant magnetoresistance (GMR) sensors. Particularly, Co/Cu multi-layered structures require sub 4.0 nm copper film thickness for obtaining strong GMR effects. The physical vapor deposition process for the deposition of the copper seed layers are prone to non-conformal coating and poor step coverage on side-walls and bottoms of trenches and vias, and presence of overhanging structures. This may cause failure of interconnections due to formation of voids after copper ECD. ALD is the most suitable technology for the deposition of conformal seed layers for the subsequent ECD in very high aspect ratio structures, also for the technology nodes below 20 nm. Surface chemistry during the ALD of oxides is quite well studied. However, surface chemistry during the ALD of pure metal is rather immature. This knowledge is necessary to optimize the process parameters, synthesize better precursors systems, and enhance the knowledge of existing metal ALD processes. The major goal of this work is to understand the surface chemistry of the used precursor and study the growth of ultrathin copper films using in-situ X-ray photoelectron spectroscopy (XPS). Copper films are deposited by ALD using the precursor mixture consisting of 99 mol% [(nBu3P)2Cu(acac)], as copper precursor and 1 mol% of Ru(η5 C7H11)(η5 C5H4SiMe3), as ruthenium precursor. The purpose in having catalytic amount of ruthenium precursor is to obtain the Ru doped Cu2O layers for subsequent reduction with formic acid at temperatures below 150 °C on arbitrary substrates. Two different approaches for the growth of ultrathin copper films have been studied in this dissertation. In the first approach, direct thermal ALD of copper has been studied by using H2 as co-reactant on Co as catalytic substrate. In the second approach, Ru-doped Cu2O is deposited by ALD using wet-O2 as co-reactant on SiO2 as non-catalytic substrate. The Ru-doped Cu2O is successfully reduced by using either formic acid or carbon-monoxide on SiO2. / Atomlagenabscheidung (ALD) von Kupfer steht im Fokus der ALD Gemeinschaft. Ultradünne Kupferschichten können als Keimschicht für die elektrochemische Abscheidung (ECD) von Kupfer in der Verbindungstechnologie eingesetzt werden. Sie können ebenfalls für Sensoren, welche auf den Effekt des Riesenmagnetowiderstandes (GMR) basieren, als nicht-ferromagnetische Zwischenschicht verwendet werden. Insbesondere Multischichtstrukturen aus ferromagnetische Kobalt und Kupfer erfordern Schichtdicken von weniger als 4,0 nm, um einen starken GMR-Effekt zu gewährleisten. Das derzeit verwendete physikalische Dampfabscheidungsverfahren für ultradünne Kupferschichten, ist besonders anfällig für eine nicht-konforme Abscheidung an den Seitenwänden und Böden von Strukturen mit hohem Aspektverhältnis. Des Weiteren kann es zur Bildung von Löchern und überhängenden Strukturen kommen, welche bei der anschließenden Kupfer ECD zu Kontaktlücken (Voids) führen können. Für die Abscheidung einer Kupfer-Keimschicht ist die ALD besonders gut geeignet, da sie es ermöglicht, ultradünne konforme Schichten auf strukturierten Oberflächen mit hohem Aspektverhältnis abzuscheiden. Dies macht sie zu einer der Schlüsseltechnologien für Struckturgrößen unter 20 nm. Im Gegensatz zur Oberflächenchemie rein metallischer ALD sind die Oberflächenreaktionen für oxidische ALD Schichten sehr gut untersucht. Die Kenntnis der Oberflächenchemie während eines ALD Prozesses ist essenziel für die Bestimmung von wichtigen Prozessparametern als auch für die Verbesserung der Präkursorsynthese ansich. Diese Arbeit beschäftigt sich mit der Untersuchung der Oberflächenchemie und Charakterisierung des Wachstums von ultradünnen Metall-Cu-Schichten mittels In-situ XPS, welche eines indirekten (Oxid) bzw. direkten Metall-ALD Prozesses abgeschieden werden, wobei die Kupfer-Oxidschichten im Anschluss einem Reduktionsprozess unterworfen werden. Hierfür wird eine Präkursormischung bestehend aus 99 mol% [(nBu3P)2Cu(acac)] und 1 mol% [Ru(η5 C7H11)(η5-C5H4SiMe3)] verwendet. Die katalytische Menge an Ru, welche in der entstehenden Cu2O Schicht verbleibt, erhöht den Effekt der Reduktion der Cu2O Schicht auf beliebigen Substraten mit Ameinsäure bei Wafertemperaturen unter 150 °C. In einem ersten Schritt wird ein direkter thermisches Kupfer ALD-Prozess, unter Verwendung von molekularem Wasserstoff als Coreaktant, auf einem Kobalt-Substrat untersucht. In einem zweiten Schritt wird ein indirekter thermischer Cu2O-ALD-Prozess, unter gleichzeitiger Verwendung von Sauerstoff und Wasserdampf als Coreaktant, mit anschließender Reduktion durch Ameinsäure oder Kohlenstoffmonoxid zu Kupfer auf den gleichen Substraten betrachtet. Die vorliegende Arbeit beschreibt das Wachstum von ultradünnen und kontinuierlichen Kupfer-Schichten mittels thermischer ALD auf inerten- SiO2 und reaktiven Kobalt-Substraten.
28

ALD of Copper and Copper Oxide Thin Films For Applications in Metallization Systems of ULSI Devices

Waechtler, Thomas, Oswald, Steffen, Roth, Nina, Lang, Heinrich, Schulz, Stefan E., Gessner, Thomas 15 July 2008 (has links)
As a possible alternative for growing seed layers required for electrochemical Cu deposition of metallization systems in ULSI circuits, the atomic layer deposition (ALD) of Cu is under consideration. To avoid drawbacks related to plasma-enhanced ALD (PEALD), thermal growth of Cu has been proposed by two-step processes forming copper oxide films by ALD which are subsequently reduced. This talk, given at the 8th International Conference on Atomic Layer Deposition (ALD 2008), held in Bruges, Belgium from 29 June to 2 July 2008, summarizes the results of thermal ALD experiments from [(<sup><i>n</i></sup>Bu<sub>3</sub>P)<sub>2</sub>Cu(acac)] precursor and wet O<sub>2</sub>. The precursor is of particular interest as it is a liquid at room temperature and thus easier to handle than frequently utilized solids such as Cu(acac)<sub>2</sub>, Cu(hfac)<sub>2</sub> or Cu(thd)<sub>2</sub>. Furthermore the substance is non-fluorinated, which helps avoiding a major source of adhesion issues repeatedly observed in Cu CVD. As result of the ALD experiments, we obtained composites of metallic and oxidized Cu on Ta and TaN, which was determined by angle-resolved XPS analyses. While smooth, adherent films were grown on TaN in an ALD window up to about 130°C, cluster-formation due to self-decomposition of the precursor was observed on Ta. We also recognized a considerable dependency of the growth on the degree of nitridation of the TaN. In contrast, smooth films could be grown up to 130°C on SiO<sub>2</sub>and Ru, although in the latter case the ALD window only extends to about 120°C. To apply the ALD films as seed layers in subsequent electroplating processes, several reduction processes are under investigation. Thermal and plasma-assisted hydrogen treatments are studied, as well as thermal treatments in vapors of isopropanol, formic acid, and aldehydes. So far these attempts were most promising using formic acid at temperatures between 100 and 120°C, also offering the benefit of avoiding agglomeration of the very thin ALD films on Ta and TaN. In this respect, the process sequence shows potential for depositing ultra-thin, smooth Cu films at temperatures below 150°C.
29

Copper oxide atomic layer deposition on thermally pretreated multi-walled carbon nanotubes for interconnect applications

Melzer, Marcel, Waechtler, Thomas, Müller, Steve, Fiedler, Holger, Hermann, Sascha, Rodriguez, Raul D., Villabona, Alexander, Sendzik, Andrea, Mothes, Robert, Schulz, Stefan E., Zahn, Dietrich R.T., Hietschold, Michael, Lang, Heinrich, Gessner, Thomas January 2013 (has links)
The following is the accepted manuscript of the original article: Marcel Melzer, Thomas Waechtler, Steve Müller, Holger Fiedler, Sascha Hermann, Raul D. Rodriguez, Alexander Villabona, Andrea Sendzik, Robert Mothes, Stefan E. Schulz, Dietrich R.T. Zahn, Michael Hietschold, Heinrich Lang and Thomas Gessner “Copper oxide atomic layer deposition on thermally pretreated multi-walled carbon nanotubes for interconnect applications”, Microelectron. Eng. 107, 223-228 (2013). Digital Object Identifier: 10.1016/j.mee.2012.10.026 Available via http://www.sciencedirect.com or http://dx.doi.org/10.1016/j.mee.2012.10.026 © 2013 Elsevier B.V. Carbon nanotubes (CNTs) are a highly promising material for future interconnects. It is expected that a decoration of the CNTs with Cu particles or also the filling of the interspaces between the CNTs with Cu can enhance the performance of CNT-based interconnects. The current work is therefore considered with thermal atomic layer deposition (ALD) of CuxO from the liquid Cu(I) β-diketonate precursor [(nBu3P)2Cu(acac)] and wet oxygen at 135°C. This paper focuses on different thermal in-situ pre-treatments of the CNTs with O2, H2O and wet O2 at temperatures up to 300°C prior to the ALD process. Analyses by transmission electron microscopy show that in most cases the CuxO forms particles on the multi-walled CNTs (MWCNTs). This behavior can be explained by the low affinity of Cu to form carbides. Nevertheless, also the formation of areas with rather layer-like growth was observed in case of an oxidation with wet O2 at 300°C. This growth mode indicates the partial destruction of the MWCNT surface. However, the damages introduced into the MWCNTs during the pre treatment are too low to be detected by Raman spectroscopy.
30

Copper Oxide Films Grown by Atomic Layer Deposition from Bis(tri-n-butylphosphane)copper(I)acetylacetonate on Ta, TaN, Ru, and SiO2

Waechtler, Thomas, Oswald, Steffen, Roth, Nina, Jakob, Alexander, Lang, Heinrich, Ecke, Ramona, Schulz, Stefan E., Gessner, Thomas, Moskvinova, Anastasia, Schulze, Steffen, Hietschold, Michael 02 May 2009 (has links) (PDF)
The thermal atomic layer deposition (ALD) of copper oxide films from the non-fluorinated yet liquid precursor bis(tri-<it>n</it>-butylphosphane)copper(I)acetylacetonate, [(<sup><it>n</it></sup>Bu<sub>3</sub>P)<sub>2</sub>Cu(acac)], and wet O<sub>2</sub> on Ta, TaN, Ru and SiO<sub>2</sub> substrates at temperatures of < 160&deg;C is reported. Typical temperature-independent growth was observed at least up to 125&deg;C with a growth-per-cycle of ~ 0.1 &Aring; for the metallic substrates and an ALD window extending down to 100&deg;C for Ru. On SiO<sub>2</sub> and TaN the ALD window was observed between 110 and 125&deg;C, with saturated growth shown on TaN still at 135&deg;C. Precursor self-decomposition in a chemical vapor deposition mode led to bi-modal growth on Ta, resulting in the parallel formation of continuous films and isolated clusters. This effect was not observed on TaN up to about 130&deg;C and neither on Ru or SiO<sub>2</sub> for any processing temperature. The degree of nitridation of the tantalum nitride underlayers considerably influenced the film growth. With excellent adhesion of the ALD films on all substrates studied, the results are a promising basis for Cu seed layer ALD applicable to electrochemical Cu metallization in interconnects of ultralarge-scale integrated circuits.<br> &copy; 2009 The Electrochemical Society. All rights reserved. <br> / Es wird die thermische Atomlagenabscheidung (ALD) von Kupferoxidschichten, ausgehend von der unfluorierten, fl&uuml;ssigen Vorstufenverbindung Bis(tri-<it>n</it>-butylphosphan)kupfer(I)acetylacetonat, [(<sup><it>n</it></sup>Bu<sub>3</sub>P)<sub>2</sub>Cu(acac)], sowie feuchtem Sauerstoff, auf Ta-, TaN-, Ru- und SiO<sub>2</sub>-Substraten bei Temperaturen < 160&deg;C berichtet. Typisches temperaturunabh&auml;ngiges Wachstum wurde zumindest bis 125&deg;C beobachtet. Damit verbunden wurde f&uuml;r die metallischen Substrate ein Zyklenwachstum von ca. 0.1 &Aring; erzielt sowie ein ALD-Fenster, das f&uuml;r Ru bis zu einer Temperatur von 100&deg;C reicht. Auf SiO<sub>2</sub> und TaN wurde das ALD-Fenster zwischen 110 und 125&deg;C beobachtet, wobei auch bei 135&deg;C noch ges&auml;ttigtes Wachstum auf TaN gezeigt werden konnte. Die selbst&auml;ndige Zersetzung des Precursors &auml;hnlich der chemischen Gasphasenabscheidung f&uuml;hrte zu einem bimodalen Schichtwachstum auf Ta, wodurch gleichzeitig geschlossene Schichten und voneinander isolierte Cluster gebildet wurden. Dieser Effekt wurde auf TaN bis zu einer Temperatur von 130&deg;C nicht beobachtet. Ebensowenig trat er im untersuchten Temperaturbereich auf Ru oder SiO<sub>2</sub> auf. Der Nitrierungsgrad der TaN-Schichten beeinflusste hierbei das Schichtwachstum stark. Mit einer sehr guten Haftung der ALD-Schichten auf allen untersuchten Substratmaterialien erscheinen die Ergebnisse vielversprechend f&uuml;r die ALD von Kupferstartschichten, die f&uuml;r die elektrochemische Kupfermetallisierung in Leitbahnsystemen ultrahochintegrierter Schaltkreise anwendbar sind.

Page generated in 0.0993 seconds