• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 29
  • 13
  • 5
  • 4
  • 2
  • 2
  • 1
  • 1
  • Tagged with
  • 68
  • 48
  • 25
  • 24
  • 17
  • 17
  • 14
  • 14
  • 13
  • 11
  • 11
  • 11
  • 11
  • 9
  • 8
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
21

Interposer platforms featuring polymer-enhanced through silicon vias for microelectronic systems

Thadesar, Paragkumar A. 08 June 2015 (has links)
Novel polymer-enhanced photodefined through-silicon via (TSV) and passive technologies have been demonstrated for silicon interposers to obtain compact heterogeneous computing and mixed-signal systems. These technologies include: (1) Polymer-clad TSVs with thick (~20 µm) liners to help reduce TSV losses and stress, and obtain optical TSVs in parallel for interposer-to-interposer long-distance communication; (2) Polymer-embedded vias with copper vias embedded in polymer wells to significantly reduce the TSV losses; (3) Coaxial vias in polymer wells to reduce the TSV losses with controlled impedance; (4) Antennas over polymer wells to attain a high radiation efficiency; and (5) High-Q inductors over polymer wells. Cleanroom fabrication and characterization of the technologies have been demonstrated. For the fabricated polymer-clad TSVs, resistance and synchrotron x-ray diffraction (XRD) measurements have been demonstrated. High-frequency measurements up to 170 GHz and time-domain measurements up to 10 Gbps have been demonstrated for the fabricated polymer-embedded vias. For the fabricated coaxial vias and inductors, high-frequency measurements up to 50 GHz have been demonstrated. Lastly, for the fabricated antennas, measurements in the W-band have been demonstrated.
22

Mise au point de procédés électrolytiques de dépôt de cuivre pour la métallisation de vias traversants (TSVs) / Development of copper electroplating processes for Through Silicon Via (TSV) metallization

Cuzzocrea, Julien 16 October 2012 (has links)
La miniaturisation nécessaire à l'accroissement des performances des composants microélectroniques est en passe d'atteindre ses limites. Ainsi, une nouvelle approche dite « intégration 3D » semble prometteuse pour outrepasser les limitations observées. Cette nouvelle intégration consiste à empiler les différentes puces qui sont reliées entre elles par des vias appelées Through Silicon Vias (TSV). L'une des clés pour la réalisation de circuits en 3 dimensions est la métallisation des TSVs. Cette dernière nécessite les dépôts d'une barrière et d'une couche d'accroche qui sert à initier le remplissage par électrolyse. Ces travaux s'intéressent plus spécifiquement à la réalisation de la couche d'accroche et au remplissage des TSVs.La couche d'accroche est généralement déposée par pulvérisation, ce qui ne permet pas d'obtenir une couverture de marche satisfaisante pour la réalisation du remplissage. Cette étude propose une solution électrolytique appelée SLE (Seed Layer Enhancement) qui permet de restaurer la continuité de la couche d'accroche déposée par PVD. L'application de ce procédé associé à un traitement de désoxydation de la surface permet l'optimisation de la nucléation du cuivre et donc la réalisation d'une couche de cuivre continue et conforme. Le procédé SLE a été intégré à la séquence de métallisation et a démontré sa capacité à initier un remplissage superconforme. De plus, des tests électriques ont confirmé l'efficacité du procédé SLE une fois intégré. Ces expériences ont ouvert la voie à l'étude du dépôt électrolytique de cuivre direct sur la barrière à la diffusion du cuivre, c'est le procédé Direct On Barrier. Les premiers résultats ont permis de démontrer la possibilité de déposer une couche de cuivre conforme sur des barrières résistives. Le second volet de ces travaux s'intéresse au remplissage par électrolyse des TSVs. Dans ce but, deux électrolytes (d'ancienne et de nouvelle génération) ont été considérés. L'effet des additifs sur le dépôt et leurs actions sur le remplissage superconforme ont été étudiés par voltampérométrie et chronopotentiométrie pour chacune des solutions. Ces analyses ont permis de monter deux mécanismes de remplissage différents principalement dû à l'action de l'additif inhibiteur durant l'électrolyse. Contrairement au cas de l'électrolyte d'ancienne génération inspiré des procédés pour le damascène, l'inhibiteur de l'électrolyte de nouvelle génération s'adsorbe fortement et irréversiblement à la surface du cuivre. Il bloque efficacement la croissance sur les flancs et le haut des TSVs, sans toutefois pouvoir contrarier l'action de l'accélérateur en fond de motif. / Nowadays, 2D integration shows serious limitations when it comes to manufacturing devices with increased functionality and performance. In this context, 3D integration approaches using Through Silicon Vias (TSVs) have been investigated as a promising solution to fabricate tomorrow's microelectronics devices. In this architecture, the key challenge is the metallization of high aspect ratios (>5) TSVs by copper electrochemical deposition (Cu ECD). This metallization sequence includes barrier and seed layer deposition followed copper filling. This study is focused on seed layer deposition and TSV filling. Usually, the seed layer is grown by sputtering based deposition techniques (PVD). This technique suffers from limited sidewall coverage, eventually leading to electrical discontinuity in the features. In this work, an electrolytic process called Seed Layer Enhancement (SLE) has been investigated as a solution to improve copper seed continuity. For this purpose, copper nucleation on the resistive barrier material has been optimized using a specific surface treatment to remove native oxide on samples surface. As a result, the SLE process has been successfully inserted in the metallization sequence, as testified by good electrical performances. These promising results open the route to an alternative solution to PVD using an electrochemical process performed directly on the barrier diffusion layer (Direct On Barrier). On the other hand, two electrolytes (an old and a new generation) have been evaluated as solutions for TSV filling. In each case, the impact of additives on copper deposition and superfilling mechanism were analyzed by voltammetric and chronopotentiometric measurements on rotating disk electrode. This study shows two different filling behaviors, close to damascene electrolyte with the older generation electrolyte, and a bottom-up filling with the last generation. The main difference comes from the action of the inhibiting additive during the filling process. In the case of the last generation electrolyte, the inhibitor adsorbs strongly and irreversibly on the copper surface. Then, a strong inhibition of copper growth occurs on the sides and on the top of the TSVs, but the action of accelerator is still efficient at the pattern bottom.
23

Caractérisation et modélisation des performances hautes fréquences des réseaux d'interconnexions de circuits avancés 3D : application à la réalisation d'imageurs de nouvelle génération / Characterization and modelling of 3D inteconnects HF performance for new generation of 3D imagers.

Fourneaud, Ludovic 11 December 2012 (has links)
Le travail de doctorat réalisé s'attache à étudier les nouveaux types d'interconnexions comme les TSV (Through Silicon Via), les lignes de redistribution (RDL) et les piliers de cuivre (Cu-Pillar) présentes dans le domaine de l'intégration 3D en microélectronique avancée, par exemple pour des applications de type « imager » où une puce « capteur optique » est empilée sur une puce « processeur ». Afin de comprendre et quantifier le comportement électrique de ces nouveaux composants d'interconnexion, une première problématique de la thèse s'articulait autour de la caractérisation électrique, sur une très large bande de fréquence (10 MHz - 60 GHz) de ces éléments, enfouis dans leurs environnements complexes d'intégration, en particulier avec l'analyse de l'impact des pertes dans les substrats de silicium dans une gamme de conductivités allant de très faible (0 S/m) à très forte (10 000 S/m). Par la suite, une nouvelle problématique prend alors naissance sur la nécessité de développer des modèles mathématiques permettant de prédire le comportement électrique des interconnexions 3D. Les modèles électriques développés doivent tenir compte des pertes, des couplages ainsi que de certains phénomènes liés à la montée en fréquence (courants de Foucault) en fonction des caractéristiques matériaux, des dimensions et des architectures (haute à faible densité d'intégration). Enfin, à partir des modèles développés, une dernière partie propose une étude sur les stratégies de routage dans les empilements 3D de puces à partir d'une analyse sur l'intégrité de signaux. En opposant différents environnements, débit de signaux binaires ou dimensions des TSV et des RDL des conclusions émergent sur les stratégies à adopter pour améliorer les performances des circuits conçus en intégration 3D. / The aim of this doctoral work is to study the new kind of interconnections like TSV (Through Silicon Via), redistribution lines (RDL) and copper pillars used in 3D integration context in advanced microelectronic components. An example of 3D integration application could be an imager designed by staking an optical sensor chip upon a processor chip. In order to understand and quantify the electrical behaviour of these new interconnection components, the first issue was about electrical characterization in a very wide frequency band (10 MHz - 60 GHz) of these elements, buried in their complex environment, in particular with the analysis of the silicon substrate loss impact which can be found in a wide band of conductivities from very low (0 S/m) to very high (10 000 S/m). Subsequently, a second issue appears from the need to develop mathematical models to predict the electrical behavior of 3D interconnects. The developed models have to take into account losses, coupling effects and some phenomena appearing with the rise of frequency (eddy currents) according to material characteristics, dimensions and architecture (from high to low density of integration). Finally, based on developed models, the last part presents a study on routing strategies in the 3D stacking chip from the analysis of signal integrity. By contrasting various environments, binary signals flow or dimensions of TSV and RDL, conclusions emerge on the best strategies to use to improve performances of circuits designed in 3D integration.
24

Etude de l'intégration de vias traversants réalisés par MOCVD en vue de l'empilement en 3D des composants microélectroniques / Study of through silicon via (TSV) integration realised by MOCVD for 3D stacking of microelectronics components

Djomeni Weleguela, Monica Larissa 15 December 2014 (has links)
Ces dernières années, l’évolution de la taille des circuits intégrés a été dirigée par la loi de Moore conduisant à des noeuds technologiques de 22 nm et en-deçà. Cependant, les problématiques de performances, de taille et de coût des composants rendent cette conjecture difficile à suivre. La tendance de diversification appelée « More than Moore » consiste à intégrer des fonctions analogiques avec des technologies CMOS dans le but d’optimiser les coûts.L'une de ses technologies clés est le TSV, qui maintient le contact entre deux niveaux de composants. Leurs facteurs de forme devenant de plus en plus élevés, les techniques de dépôts standards par iPVD sont proches de leurs limites. De plus, les méthodes de caractérisation usuelles ne sont pas adaptées à ces structures.La première partie de cette thèse sera dédiée au développement des procédés de dépôt de la barrière de diffusion du cuivre par MOCVD à basse température pour s’adapter aux divers schémas d'intégration de type via middle et via last. La deuxième partie sera consacrée à l’élaboration des protocoles avancés de caractérisation des films dans ces structures afin d’étudier leurs comportements en intégration. / For the past years, Moore’s law has pointed mainstream microelectronics, driving integrated circuits down to 22 nm and below. Yet, performance, dimension and cost issues make it difficult to follow the trend. Integrating analog functions into CMOS-based technologies enables cost-optimized systems solutions. These diversified tendencies are known as “More than Moore”. One of the key technologies of this trend is the TSV, which maintains the contact between two components.The increasing aspect ratio of via made it critical to obtain a continuous, conformal coverage of the copper diffusion barrier layer using iPVD.In the first part of this thesis, a promising deposition technique by MOCVD has been developed at low temperature to fulfill various integration schemes including via last and via middle processes.Characterizations of the behavior of these materials in the TSV then became a great challenge in order to handle the integration protocol. Working at theses scales makes standard methods limited to evaluate the intrinsic properties inside the TSV. In the second part, the implementations of advanced characterization into these structures were carried out.
25

Électrogreffage de poly-4-vinylpyridine pour l'isolation d'interconnexions verticales de cuivre en intégration 3D de microsystèmes

Dequivre, Thomas January 2017 (has links)
L’amélioration des microsystèmes grâce à leur intégration en 3 dimensions (3D) est liée au développement des interconnexions verticales, appelées through silicion via (TSV). Afin d’obtenir une densité d’intégration maximum et les meilleures performances électriques possibles, ces interconnexions sont d’un diamètre le plus petit possible (facteur de forme élevé) et idéalement remplies de cuivre. Dans ces conditions, la fabrication de TSV représente un défi. L’une des étapes les plus critiques est l’isolation électrique du TSV de cuivre qui doit être réalisée sans endommager les microdispositifs déjà présent sur le substrat. Cette étape est traditionnellement accomplie par le dépôt de dioxyde de silicium (SiO2), compliqué à mettre en œuvre et coûteux pour des TSV de cuivre à facteur de forme élevés. Le procédé d’électrogreffage d’un film isolant de poly-4-vinylpirydine (P4VP) a été proposé comme alternative au SiO2. Ce procédé a déjà été prouvé pour isoler des TSV usinés dans du silicium ne contenant aucun dispositif, mais reste a être démontré dans les conditions d’intégration 3D. Cette thèse a pour but de démontrer la compatibilité du procédé d’isolation par électrogreffage de P4VP de TSV de cuivre à facteur de forme élevé, dans les conditions d’intégration 3D et d’en déduire l’impact sur la fiabilité des microdispositifs à leur proximité. Cette thèse rapporte la fabrication des premiers TSV de cuivre à facteur de forme élevé, isolés par P4VP, dans les conditions d’intégration 3D d’un microsystème. Nous avons observé la vulnérabilité des microdispositifs aux attaques successives des solutions traditionnellement utilisées pour préparer le substrat de silicium à l’électrogreffage. Cependant, en remplaçant les solutions les plus agressives par des techniques plus douces, la fabrication des TSV isolés par P4VP a été démontrée. L’influence de la préparation de surface du silicium sur l’épaisseur du film greffé a ensuite été étudiée. L’analyse comparative des voltammogrammes révèle que la présence d’un oxyde créé chimiquement permet d’obtenir des films de P4VP plus épais que lorsque le substrat est désoxydé. Le rôle des états de surface générés par la présence de l’oxyde a été investigué par l’analyse de courbes Mott-Schottky. Ces expériences ont mis en évidence l’influence des états de surface sur la création d’une zone d’inversion dans le silicium, favorisant l’accumulation d’électrons nécessaire à l’électro-initiation du procédé d’électrogreffage. Enfin, la thèse rapporte la première mesure des contraintes résiduelles associées à la conception de TSV isolés par P4VP. Ces mesures révèlent que l’utilisation de l’isolant électrogreffé, comparativement au SiO2, permet de réduire considérablement les contraintes dans le silicium à proximité des TSV. Ainsi, l’utilisation du polymère de P4VP devrait permettre d’augmenter la densité d’intégration d’un microsystème tout en assurant sa fiabilité.
26

Micronetworking: Reliable Communication on 3D Integrated Circuits

Contreras, Andres A. 01 May 2010 (has links)
The potential failure in through-silicon vias (TSVs) still poses a challenge in trying to extend the useful life of a 3D integrated circuit (IC). A model is proposed to mitigate the communication problem in 3D integrated circuits caused by the breaks at the TSVs. We provide the details of a low-complexity network that takes advantages of redundant TSVs to make it possible to re-route around breaks and maintain effective communication between layers. Different configurations for the micronetwork are analyzed and discussed. We also present an evaluation of the micronetwork's performance, which turns out to be quite promising, based on several Monte Carlo simulations. Finally, we provide some directions for future research on the subject.
27

Placement for fast and reliable through-silicon-via (TSV) based 3D-IC layouts

Athikulwongse, Krit 17 August 2012 (has links)
The objective of this research is to explore the feasibility of addressing the major performance and reliability problems or issues, such as wirelength, stress-induced carrier mobility variation, temperature, and quality trade-offs, found in three-dimensional integrated circuits (3D ICs) that use through-silicon vias (TSVs) at placement stage. Four main works that support this goal are included. In the first work, wirelength of TSV-based 3D ICs is the main focus. In the second work, stress-induced carrier mobility variation in TSV-based 3D ICs is examined. In the third work, temperature inside TSV-based 3D ICs is investigated. In the final work, the quality trade-offs of TSV-based 3D-IC designs are explored. In the first work, a force-directed, 3D, and gate-level placement algorithm that efficiently handles TSVs is developed. The experiments based on synthesized benchmarks indicate that the developed algorithm helps generate GDSII layouts of 3D-IC designs that are optimized in terms of wirelength. In addition, the impact of TSVs on other physical aspects of 3D-IC designs is also studied by analyzing the GDSII layouts. In the second work, the model for carrier mobility variation caused by TSV and STI stresses is developed as well as the timing analysis flow considering the stresses. The impact of TSV and STI stresses on carrier mobility variation and performance of 3D ICs is studied. Furthermore, a TSV-stress-driven, force-directed, and 3D placement algorithm is developed. It exploits carrier mobility variation, caused by stress around TSVs after fabrication, to improve the timing and area objectives during placement. In addition, the impact of keep-out zone (KOZ) around TSVs on stress, carrier mobility variation, area, wirelength, and performance of 3D ICs is studied. In the third work, two temperature-aware global placement algorithms are developed. They exploit die-to-die thermal coupling in 3D ICs to improve temperature during placement. In addition, a framework used to evaluate the results from temperature-aware global placements is developed. The main component of the framework is a GDSII-level thermal analysis that considers all structures inside a TSV-based 3D IC while computing temperature. The developed placers are compared with several state-of-the-art placers published in recent literature. The experimental results indicate that the developed algorithms help improve the temperature of 3D ICs effectively. In the final work, three block-level design styles for TSV-based die-to-wafer bonded 3D ICs are discussed. Several 3D-IC layouts in the three styles are manually designed. The main difference among these layouts is the position of TSVs. Finally, the area, wirelength, timing, power, temperature, and mechanical stress of all layouts are compared to explore the trade-offs of layout quality.
28

Power supply noise analysis for 3D ICs using through-silicon-vias

Sane, Hemant 13 January 2010 (has links)
3D design is being recognized widely as the next BIG thing in system integration. However, design and analysis tools for 3D are still in infancy stage. Power supply noise analysis is one of the critical aspects of a design. Hence, the area of noise analysis for 3D designs is a key area for future development. The following research presents a new parasitic RLC modeling technique for 3D chips containing TSVs as well as a novel optimization algorithm for power-ground network of a 3D chip with the aim of minimizing noise in the network. The following work also looks into an existing commercial IR drop analysis tool and presents a way to modify it with the aim of handling 3D designs containing TSVs.
29

Characterization and design of embedded passive circuits for applications up to millimeter-wave frequency

Hwang, Seunghyun Eddy 28 June 2011 (has links)
The goal of the research in this dissertation is to develop techniques for 1) system-on-package integration of passive circuits using ultra-thin advanced polymers called RXP (Rogers experimental polymer), 2) extraction of frequency-dependent material properties up to millimeter-wave frequency, 3) development and synthesis of high-rejection filter topologies, 4) design and characterization of high performance miniaturized embedded passive circuits for microwave and millimeter-wave applications, and 5) development of via and through-silicon via (TSV) enhanced filter design method for integration in high-loss substrate. The RXP material is developed to reduce the layer-count for multi-layer configuration and adoption of advanced fabrication technologies. Frequency-dependent material properties of RXP, ceramic, and other materials have been extracted up to millimeter-wave frequency using parallel-plate resonator method. An automated extraction algorithm has been proposed to handle a large number of frequency samples efficiently. The accuracy of the extraction result has been improved by including the surface roughness effect for conductor operating at high frequency. Using extracted RXP material properties, 2.4/5 GHz WLAN bandpass filters have been designed and characterized. High-rejection bandpass filter topologies for narrow 2.4 GHz and wide 5 GHz have been proposed. The proposed topologies have been synthesized to provide design equations as well as graphical design methodologies using Z-parameters. A new capacitor design called 3D stitched capacitor has been proposed to achieve more symmetric layout by providing balanced shunt parasitics. The proposed topologies and design methodologies have been verified through the measurement of high-rejection RXP bandpass filters. Good correlation between the simulation and measurement was observed demonstrating an effective design methodology and embedding bandpass filters with good performance. Dual-band bandpass filters for WLAN applications have been implemented and measured. Instead of connecting two bandpass filter circuits, a new single bandpass filter topology has been developed with a compact size as well as high isolation between passbands. High-rejection duplexer has been designed in RXP substrate for chip-last embedded IC technology, and a novel matching circuit has been applied for the miniaturization as well. The 60 GHz V-band has special interest for wireless applications because of its high attenuation characteristics because of atmospheric oxygen. Millimeter-wave passive circuits such as bandpass filter, dual-band filter, and duplexer have been designed, and self-resonant frequency of passive components has been carefully avoided using the proposed method. For low-cost system integration, silicon interposer with through-silicon-via (TSV) technology has been studied. The filter design method for high-loss substrate has been proposed. The coupling characteristic of TSV has been investigated for obtaining good insertion loss in lossy substrates such as silicon, and TSV characteristics has been used to design bandpass and highpass filters. To demonstration of concept, bandpass filters with good insertion loss have been realized on high-loss FR4 substrate.
30

Thin-film trench capacitors for silicon and organic packages

Wang, Yushu 29 August 2011 (has links)
The continuous trend towards mega-functional, high-performance and ultra-miniaturized system has been driving the need for advances in novel materials with superior properties leading to thin components, high-density interconnect substrates and interconnections. Power supply and management is becoming a critical bottleneck for the advances in such mega-functional systems because power components do not scale down with the rest of the system resulting in bulky and stand-alone power modules. Amongst the power components, thin film capacitors are considered the most challenging to integrate because of several manufacturability concerns. The challenges are related to process compatibility of high permittivity dielectrics with substrates and high surface area electrodes, yield, leakage and losses. This thesis focuses on novel thin film capacitor technologies that address some of these critical challenges. / Thesis advisor has approved the addition of errata to this item. The abstract text in the metadata record has been modified to match the document text.

Page generated in 0.0215 seconds