• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 368
  • 71
  • 67
  • 55
  • 29
  • 17
  • 5
  • 4
  • 4
  • 2
  • 1
  • 1
  • 1
  • 1
  • 1
  • Tagged with
  • 738
  • 738
  • 163
  • 132
  • 125
  • 113
  • 95
  • 95
  • 92
  • 87
  • 84
  • 78
  • 76
  • 72
  • 62
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
121

Modeling and synthesis of approximate digital circuits

Miao, Jin 16 January 2015 (has links)
Energy minimization has become an ever more important concern in the design of very large scale integrated circuits (VLSI). In recent years, approximate computing, which is based on the idea of trading off computational accuracy for improved energy efficiency, has attracted significant attention. Applications that are both compute-intensive and error-tolerant are most suitable to adopt approximation strategies. This includes digital signal processing, data mining, machine learning or search algorithms. Such approximations can be achieved at several design levels, ranging from software, algorithm and architecture, down to logic or transistor levels. This dissertation investigates two research threads for the derivation of approximate digital circuits at the logic level: 1) modeling and synthesis of fundamental arithmetic building blocks; 2) automated techniques for synthesizing arbitrary approximate logic circuits under general error specifications. The first thread investigates elementary arithmetic blocks, such as adders and multipliers, which are at the core of all data processing and often consume most of the energy in a circuit. An optimal strategy is developed to reduce energy consumption in timing-starved adders under voltage over-scaling. This allows a formal demonstration that, under quadratic error measures prevalent in signal processing applications, an adder design strategy that separates the most significant bits (MSBs) from the least significant bits (LSBs) is optimal. An optimal conditional bounding (CB) logic is further proposed for the LSBs, which selectively compensates for the occurrence of errors in the MSB part. There is a rich design space of optimal adders defined by different CB solutions. The other thread considers the problem of approximate logic synthesis (ALS) in two-level form. ALS is concerned with formally synthesizing a minimum-cost approximate Boolean function, whose behavior deviates from a specified exact Boolean function in a well-constrained manner. It is established that the ALS problem un-constrained by the frequency of errors is isomorphic to a Boolean relation (BR) minimization problem, and hence can be efficiently solved by existing BR minimizers. An efficient heuristic is further developed which iteratively refines the magnitude-constrained solution to arrive at a two-level representation also satisfying error frequency constraints. To extend the two-level solution into an approach for multi-level approximate logic synthesis (MALS), Boolean network simplifications allowed by external don't cares (EXDCs) are used. The key contribution is in finding non-trivial EXDCs that can maximally approach the external BR and, when applied to the Boolean network, solve the MALS problem constrained by magnitude only. The algorithm then ensures compliance to error frequency constraints by recovering the correct outputs on the sought number of error-producing inputs while aiming to minimize the network cost increase. Experiments have demonstrated the effectiveness of the proposed techniques in deriving approximate circuits. The approximate adders can save up to 60% energy compared to exact adders for a reasonable accuracy. When used in larger systems implementing image-processing algorithms, energy savings of 40% are possible. The logic synthesis approaches generally can produce approximate Boolean functions or networks with complexity reductions ranging from 30% to 50% under small error constraints. / text
122

Dynamically controlling the clock frequency based on the variations in the voltage

Chhatbar, Jigar Chandrakant 21 December 2010 (has links)
A digital logic circuit tends to become slower if the voltage (VDD) level drops below the normal VDD level. Because of this, the required data will not have settled before the arrival of the clock edge. This results in an incorrect sampling of the data leading to a functional failure of the chip. This thesis proposes a clock controller circuit which solves this issue. It consists of a voltage monitoring circuit to track the variations in the VDD level, a frequency multiplier and divider, and a selector logic circuit that outputs a particular frequency depending upon the VDD range in which the chip is operating. / text
123

Energy and Reliability in Future NOC Interconnected CMPS

Kim, Hyungjun 16 December 2013 (has links)
In this dissertation, I explore energy and reliability in future NoC (Network-on-Chip) interconnected CMPs (chip multiprocessors) as they have become a first-order constraint in future CMP design. In the first part, we target the root cause of network energy consumption through techniques that reduce link and router-level switching activity. We specifically focus on memory subsystem traffic, as it comprises the bulk of NoC load in a CMP. By transmitting only the flits that contain words that we predicted would be useful using a novel spatial locality predictor, our scheme seeks to reduce network activity. We aim to further lower NoC energy consumption through microarchitectural mechanisms that inhibit datapath switching activity caused by unused words in individual flits. Using simulation-based performance studies and detailed energy models based on synthesized router designs and different link wire types, we show that (a) the pre- diction mechanism achieves very high accuracy, with an average rate of false-unused prediction of just 2.5%; (b) the combined NoC energy savings enabled by the predictor and microarchitectural support are 36% on average and up to 57% in the best case; and (c) there is no system performance penalty as a result of this technique. In the second part, we present a method for dynamic voltage/frequency scaling of networks-on-chip and last level caches in CMP designs, where the shared resources form a single voltage/frequency domain. We develop a new technique for monitoring and control and validate it by running PARSEC benchmarks through full system simulations. These techniques reduce energy-delay product by 46% compared to a state-of-the-art prior work. In the third part, we develop critical path models for HCI- and NBTI-induced wear assuming stress caused under realistic workload conditions, and apply them onto the interconnect microarchitecture. A key finding from this modeling is that, counter to prevailing wisdom, wearout in the CMP on-chip interconnect is correlated with a lack of load observed in the NoC routers, rather than high load. We then develop a novel wearout-decelerating scheme in which routers under low load have their wearout-sensitive components exercised without significantly impacting the router’s cycle time, pipeline depth, and area or power consumption. We subsequently show that the proposed design yields a 13.8∼65× increase in CMP lifetime.
124

Improving Low-Power Wireless Protocols with Timing-Accurate Simulation

Österlind, Fredrik January 2011 (has links)
Low-power wireless technology enables numerous applications in areas from environmental monitoring and smart cities, to healthcare and recycling. But resource-constraints and the distributed nature of applications make low-power wireless networks difficult to develop and understand, resulting in increased development time, poor performance, software bugs, or even network failures. Network simulators offer full non-intrusive visibility and control, and are indispensible tools during development. But simulators do not always adequately represent the real world, limiting their applicability. In this thesis I argue that high simulation timing accuracy is important when developing high-performance low-power wireless protocols. Unlike in generic wireless network simulation, timing becomes important since low-power wireless networks use extremely timing-sensitive software techniques such as radio duty-cycling. I develop the simulation environment Cooja that can simulate low-power wireless networks with high timing accuracy. Using timing-accurate simulation, I design and develop a set of new low-power wireless protocols that improve on throughput, latency, and energy-efficiency. The problems that motivate these protocols were revealed by timing-accurate simulation. Timing-accurate software execution exposed performance bottlenecks that I address with a new communication primitive called Conditional Immediate Transmission (CIT). I show that CIT can improve on throughput in bulk transfer scenarios, and lower latency in many-to-one convergecast networks. Timing-accurate communication exposed that the hidden terminal problem is aggravated in duty-cycled networks that experience traffic bursts. I propose the Strawman mechanism that makes a radio duty-cycled network robust against traffic bursts by efficiently coping with hidden terminals. The Cooja simulation environment is available for use by others and is the default simulator in the Contiki operating system since 2006.
125

FARHAD: a Fault-Tolerant Power-Aware Hybrid Adder for High-Performance Processor

Hajkazemi, Mohammad Hossein 20 August 2013 (has links)
This thesis introduces an alternative Fault-Tolerant Power-Aware Hybrid Adder (or simply FARHAD) for high-performance processors. FARHAD, similar to earlier studies, relies on performing add operations twice to detect errors. Unlike previous studies, FARHAD uses an aggressive adder to produce the initial outcome and a low-power adder to generate the second outcome, referred to as the checker. FARHAD uses checkpoints, a feature already available to high-performance processors, to recover from errors. FARHAD achieves the high energy-efficiency of time-redundant solutions and the high performance of resource-redundant adders. We evaluate FARHAD from power and performance points of view using a subset of SPEC’2K benchmarks. Our evaluations show that FARHAD outperforms an alternative time-redundant solution by 20%. FARHAD reduces the power dissipation of an alternative resource-redundant adder by 40% while maintaining performance. / Graduate / 0544
126

Leakage current modeling in sub-micrometer CMOS complex gates / Modelagem de corrente de fugas em portas lógicas CMOS submicrométricas

Butzen, Paulo Francisco January 2007 (has links)
Para manter o desempenho a uma tensão de alimentação reduzida, a tensão de threshold e as dimensões dos transistores têm sido reduzidas por décadas. A miniaturização do transistor para tecnologias sub-100nm resulta em um expressivo incremento nas correntes de fuga, tornando-as parte significativa da potencia total, alcançando em muitos casos 30-50% de toda a potencia dissipada em condições normais de operação. Por estas condições, correntes estáticas em células CMOS representam um importante desafio em tecnologias nanométricas, tornando-se um fator crítico no design de circuitos de baixa potência. Isto significa que dissipação de potência estática deve ser considerada o quanto antes no fluxo de projetos de circuitos integrados. Esta tese revisa os principais mecanismos de fuga e algumas técnicas de redução. Também é apresentado um modelo de estimativa rápida da corrente de subthreshold em células lógicas CMOS série - paralelo. Este método é baseado em associações de condutividade elétrica série – paralelo de transistores. Ao combinar com o modelo de estimativa da corrente de fuga de gate baseada nas condições estáticas dos transistores é possível fornecer uma melhor predição da corrente de fuga total em redes de transistores. O modelo de estimativa anterior é rápido porem seu foco não esta na precisão. Um novo e preciso modelo para corrente de fuga de subthreshold e de gate é também apresentado baseado em modelos analíticos simplificados das correntes de fuga. Ao contrario do modelo anterior que era destinado a redes de transistores serie – paralelo, o novo método avalia as correntes de fuga em rede de transistores complexas. A presença de transistores conduzindo em redes de transistores não conduzindo, ignorados em trabalhos anteriores, é também avaliado no trabalho proposto. O novo modelo de corrente de fuga foi validado através de simulações elétricas, considerando processos CMOS 130nm e 90nm, com boa correlação dos resultados, demonstrando a precisão do modelo. / To maintain performance at reduced power supply voltage, transistor threshold voltages and dimensions have been scaled down for decades. Scaling transistor into the sub-100nm technologies has resulted in a dramatic increase in leakage currents, which have become a significant portion of the total power consumption in scaled technologies, in many case achieving 30-50% of the overall power consumption under nominal operating conditions. For this condition, standby currents in CMOS logic gates represent an important challenge in nanometer technologies, leakage dissipation being a critical factor in low-power design. It means the static power dissipation should be considered as soon as possible in the integrated circuit design flow. This thesis reviews the major leakage current mechanisms and several reduction techniques. It presents the development of a straightforward method for very fast estimation of subthreshold current in CMOS series-parallel logic gates. This estimation method is based on electrical conductivity association of series-parallel transistor arrangements. Combined with a gate oxide leakage model based on transistor bias condition, it is possible to provide a better prediction of total leakage consumption in transistor networks. The previous estimation method is fast but it is not focused on accuracy. A new accurate subthreshold and gate leakage current estimation method is also developed based on simplified analytical leakage currents models. Instead of previous works focused on series-parallel device arrangements, this method evaluates the leakage in general transistor networks. The presence of on-switches in off-networks, ignored by previous works, is also considered in the proposed static current analysis. The new leakage model has been validated through electrical simulations, taking into account a 130nm and 90nm CMOS technology, with good correlation of the results, demonstrating the model accuracy.
127

Consommation statique dans les circuits numériques en CMOS 32nm : analyse et méthodologie pour une estimation statistique au niveau porte / Leakage Power in 32nm CMOS digital circuits : Analysis and Methodology for Statistical Gate Level Estimation

Joshi, Smriti 15 March 2013 (has links)
La puissance de fuite est devenue une préoccupation majeure pour les concepteurs de circuits intégrés depuis le nœud technologique 65 nm. En outre, ces fuites sont largement impactées par la variabilité technologique qui augmente nœud après nœud. C'est pourquoi des approches statistiques, qui estiment analytiquement la distribution du courant de fuite d'un circuit, sont des techniques nouvelles et prometteuses pour les technologies avancées. Dans ce cadre, ce travail propose une méthodologie au niveau circuit, capable d’analyser la puissance de fuite, et compatible avec les contraintes de temps de conception et les flots numériques. Un premier résultat de cette étude est de déterminer les paramètres de processus physiques prédominants de la variation de la consommation de puissance statique pour un noeud de la technologie de 32 nm . Pour le travail préliminaire, nous avons utilisé un modèle de PSP 32nm afin de déterminer les paramètres physiques dominants de variation de fuite d' impact. Nous avons constaté que , à l'alimentation nominale , un processus paramètre soit la longueur de grille est le principal contributeur à la propagation de la variation de fuite et n'a plus qu'à être envisagée. Il est montré que, compte tenu seulement un ou deux paramètres peut être suffisant pour obtenir un résultat satisfaisant. Deuxièmement, l'impact des variations globales et locales sur la variabilité de fuite dans la technologie 32nm est analysé. Enfin, un nouveau portail niveau méthodologie statistique pour estimer la consommation d'énergie de fuite des circuits CMOS numériques complexes , en tenant compte des états d'entrée et les variations de processus est proposé en technologie 32nm . L' estimation des fuites statistique est basée sur une pré- caractérisation des cellules de bibliothèques tenant compte des corrélations entre les cellules fuites . Il stocke toutes les informations statistiques ( moyenne, variance pour chaque état de la cellule / entrée ) sous forme de tableau . Le temps de calcul des cellules statistique caractérisation de bibliothèque de fuite est compatible avec les flux existants. Suivant une formulation mathématique est proposé et inséré dans un flot de conception afin d'estimer la distribution de fuite de circuit . Cette méthodologie est validée sur des circuits de différents niveaux de complexité . La méthodologie proposée est simple, rapide et peut être facilement confondu avec le flux existant de conception CAD . La moyenne et la variance des cellules individuelles de fuite , qui sont ensuite combinées pour trouver le courant du circuit de fuite total se caractérisent d'abord. Pour une analyse détaillée , les corrélations entre les cellules et la longueur avec l'état des entrées sont également considérés . Puis , on introduit une formule pour calculer la fuite total du circuit en utilisant la matrice de corrélation , et la moyenne ( μ ) et la variance ( σ2 ) de chacune des cellules . Pour valider cette méthode, les comparaisons sont faites avec Monte Carlo et rapide Spice Simulator (XA) . La méthodologie complète a été validé sur les différents niveaux de circuits de complexité , les résultats présentés pour un plus grand complexe IP ( APIP) qui est constitué de cellules 11475 . Notre approche proposée plus rapide pour les grands IP ( 11K portes ) est près de 400 fois plus que simulateur spice rapide ( XA) . / Leakage power has become a top concern for IC designers in advanced technology nodes (65nm and below) because it has increased by 30-50% the total IC power consumption. In addition, the leakage is largely impacted by the process variations which are increasing node after node. That’s why statistical leakage estimation, which analytically estimates the leakage-current distribution of a circuit, is a new and promising technique for leakage estimation in the deep-sub micron era. The objective of this work is to propose a circuit-level methodology to analyze leakage power, compatible with design time constraints and digital flows. A first result of this work is the determination of the predominant physical process parameters for static power consumption variation for a 32 nm technology node. For the preliminary work we have used a 32nm PSP model in order to determine the dominant physical parameters that impact leakage variation. We have found that, at nominal power supply, one process parameter i.e. gate length is the main contributor to the leakage variation spread and has only to be considered. It is shown that considering only one or two parameters may be enough to get a satisfactory result. Secondly, the impact of global and local variations on leakage variability in 32nm technology is analyzed. Finally, a new gate level statistical methodology to estimate the leakage power consumption of CMOS complex digital circuits, taking into account input states and process variations is proposed in 32nm technology. The statistical leakage estimation is based on a pre-characterization of library cells considering correlations between cells leakages. It stores all statistical information (mean, variance for each cell/input state) in tabular form. Computation time of cells statistical leakage library characterization is compatible with existing flows. Next a mathematical formulation is proposed and inserted into a design flow to estimate circuit leakage distribution. This methodology is validated on circuits of different levels of complexity. The proposed methodology is simple, fast and can be easily merged with existing CAD design flow. The mean and variance of leakage individual cells, which are then combined to find the total leakage current of the circuit are characterized first. For a detailed analysis, the correlations between the cells and the length with the status of inputs are also considered. Then, a formula is introduced for calculating the total leakage from the circuit using the correlation matrix, and the mean (μ) and the variance (σ2) of each of the cells. To validate this methodology, comparisons are made with Monte Carlo and Fast Spice Simulator (XA). The complete methodology had been validated on different level of complexity circuits, results shown for a bigger complex IP (APIP) which consists of 11475 cells. Our proposed approach faster for large IP (11K gates) is nearly 400 times than fast spice simulator (XA).
128

Leakage current modeling in sub-micrometer CMOS complex gates / Modelagem de corrente de fugas em portas lógicas CMOS submicrométricas

Butzen, Paulo Francisco January 2007 (has links)
Para manter o desempenho a uma tensão de alimentação reduzida, a tensão de threshold e as dimensões dos transistores têm sido reduzidas por décadas. A miniaturização do transistor para tecnologias sub-100nm resulta em um expressivo incremento nas correntes de fuga, tornando-as parte significativa da potencia total, alcançando em muitos casos 30-50% de toda a potencia dissipada em condições normais de operação. Por estas condições, correntes estáticas em células CMOS representam um importante desafio em tecnologias nanométricas, tornando-se um fator crítico no design de circuitos de baixa potência. Isto significa que dissipação de potência estática deve ser considerada o quanto antes no fluxo de projetos de circuitos integrados. Esta tese revisa os principais mecanismos de fuga e algumas técnicas de redução. Também é apresentado um modelo de estimativa rápida da corrente de subthreshold em células lógicas CMOS série - paralelo. Este método é baseado em associações de condutividade elétrica série – paralelo de transistores. Ao combinar com o modelo de estimativa da corrente de fuga de gate baseada nas condições estáticas dos transistores é possível fornecer uma melhor predição da corrente de fuga total em redes de transistores. O modelo de estimativa anterior é rápido porem seu foco não esta na precisão. Um novo e preciso modelo para corrente de fuga de subthreshold e de gate é também apresentado baseado em modelos analíticos simplificados das correntes de fuga. Ao contrario do modelo anterior que era destinado a redes de transistores serie – paralelo, o novo método avalia as correntes de fuga em rede de transistores complexas. A presença de transistores conduzindo em redes de transistores não conduzindo, ignorados em trabalhos anteriores, é também avaliado no trabalho proposto. O novo modelo de corrente de fuga foi validado através de simulações elétricas, considerando processos CMOS 130nm e 90nm, com boa correlação dos resultados, demonstrando a precisão do modelo. / To maintain performance at reduced power supply voltage, transistor threshold voltages and dimensions have been scaled down for decades. Scaling transistor into the sub-100nm technologies has resulted in a dramatic increase in leakage currents, which have become a significant portion of the total power consumption in scaled technologies, in many case achieving 30-50% of the overall power consumption under nominal operating conditions. For this condition, standby currents in CMOS logic gates represent an important challenge in nanometer technologies, leakage dissipation being a critical factor in low-power design. It means the static power dissipation should be considered as soon as possible in the integrated circuit design flow. This thesis reviews the major leakage current mechanisms and several reduction techniques. It presents the development of a straightforward method for very fast estimation of subthreshold current in CMOS series-parallel logic gates. This estimation method is based on electrical conductivity association of series-parallel transistor arrangements. Combined with a gate oxide leakage model based on transistor bias condition, it is possible to provide a better prediction of total leakage consumption in transistor networks. The previous estimation method is fast but it is not focused on accuracy. A new accurate subthreshold and gate leakage current estimation method is also developed based on simplified analytical leakage currents models. Instead of previous works focused on series-parallel device arrangements, this method evaluates the leakage in general transistor networks. The presence of on-switches in off-networks, ignored by previous works, is also considered in the proposed static current analysis. The new leakage model has been validated through electrical simulations, taking into account a 130nm and 90nm CMOS technology, with good correlation of the results, demonstrating the model accuracy.
129

Design of High Performance Threshold Logic Gates

Dara, Chandra Babu 01 December 2015 (has links)
Threshold logic gates are gaining more importance in recent years due to significant development in switching devices. This renewed the interest in high performance and low power circuits with threshold logic gates. Threshold Logic Gates can be implemented using both the traditional CMOS technologies and the emerging nanoelectronic technologies. In this dissertation, we have performed performance analysis on Monostable-Bistable Threshold Logic Element based, current mode, and memristor based threshold logic implementations. Existing analytical approaches that model the delay of a Monostable-Bistable Threshold Logic Element threshold logic gate cannot explore the enormous search space in the quest of weight assignments on the inputs and threshold in order to optimize the delay of the threshold logic gate. It is shown that this can be achieved by using a quantity that depends on the constants and Resonant Tunnel Diode weights. This quantity is used to form an integer linear program that optimizes the performance and ensure that each weight can tolerate a predetermined variation by an appropriate weight assignment in a threshold logic gate. The presented experimental results demonstrate the impact of the proposed method. The optimality of our solutions and the reported improvements ensure tolerance to potential manufacturing defects. Current mode is a popular CMOS-based implementation of threshold logic functions where the gate delay depends on the sensor size. A new implementation of current mode threshold functions for improved performance and switching energy is presented. An analytical method is also proposed in order to identify quickly the optimum sensor size. Experimental results on different gates with the optimum sensor size indicate that the proposed method outperforms consistently the existing implementations, and implements high performance and low power gates that have a very large number of inputs. A new dual clocked design that uses memristors in current mode logic implementation of threshold logic gates is also presented. Memristor based designs have high potential to improve performance and energy over purely CMOS-based combinational methods. The proposed designs are clocked, and outperform a recently proposed combinational method in performance as well as energy consumption. It is experimentally verified that both designs scale well in both energy consumption as well as delay.
130

Optimisation de dispositifs FDSOI pour la gestion de la consommation et de la vitesse : application aux mémoires et fonctions logiques / FDSOI devices optimization to power and speed management : application to memory and logic function

Noël, Jean-Philippe 14 December 2011 (has links)
Avec la percée des téléphones portables et des tablettes numériques intégrant des fonctions avancées de traitement de l'information, une croissance exponentielle du marché des systèmes sur puce (SoC pour System On Chip en anglais) est attendue jusqu'en 2016. Ces systèmes, conçus dans les dernières technologies nanométriques, nécessitent des vitesses de fonctionnement très élevées pour offrir des performances incroyables, tout en consommant remarquablement peu. Cependant, concevoir de tels systèmes à l'échelle nanométrique présente de nombreux enjeux en raison de l'accentuation d'effets parasites avec la miniaturisation des transistors MOS sur silicium massif, rendant les circuits plus sensibles aux phénomènes de fluctuations des procédés de fabrication et moins efficaces énergétiquement. La technologie planaire complètement désertée (FD pour Fully depleted en anglais) SOI, offrant un meilleur contrôle du canal du transistor et une faible variabilité de sa tension de seuil grâce à un film de silicium mince et non dopé, apparaît comme une solution technologique très bien adaptée pour répondre aux besoins de ces dispositifs nomades alliant hautes performances et basse consommation. Cependant pour que cette technologie soit viable, il est impératif qu'elle réponde aux besoins des plateformes de conception basse consommation. Un des défis majeurs de l'état de l'art de la technologie planaire FDSOI est de fournir les différentes tensions de seuils (VT) requises pour la gestion de la consommation et de la vitesse. Le travail de recherche de thèse présenté dans ce mémoire a contribué à la mise en place d'une plateforme de conception multi-VT en technologie planaire FDSOI sur oxyde enterré mince (UTB pour Ultra Thin Buried oxide en anglais) pour les nœuds technologiques sub-32 nm. Pour cela, les éléments clefs des plateformes de conception basse consommation en technologie planaire sur silicium massif ont été identifiés. A la suite de cette analyse, différentes architectures de transistors MOS multi-VT FDSOI ont été développées. L'analyse au niveau des circuits numériques et mémoires élémentaires a permis de mettre en avant deux solutions fiables, efficaces et de faible complexité technologique. Les performances des solutions apportées ont été évaluées sur un chemin critique extrait du cœur de processeur ARM Cortex A9 et sur une cellule SRAM 6T haute densité (0,120 µm²). Egalement, une cellule SRAM à quatre transistors est proposée, démontrant la flexibilité au niveau conception des solutions proposées. Ce travail de recherche a donné lieu à de nombreuses publications, communications et brevets. Aujourd'hui, la majorité des résultats obtenus ont été transférés chez STMicroelectronics, où l'étude de leur industrialisation est en cours. / Driven by the strong growth of smartphone and tablet devices, an exponential growth for the mobile SoC market is forecasted up to 2016. These systems, designed in the latest nanometre technology, require very high speeds to deliver tremendous performances, while consuming remarkably little. However, designing such systems at the nanometre scale introduces many challenges due to the emphasis of parasitic phenomenon effects driven by the scaling of bulk MOSFETs, making circuits more sensitive to the manufacturing process fluctuations and less energy efficient. Undoped thin-film planar fully depleted silicon-on-insulator (FDSOI) devices are being investigated as an alternative to bulk devices in 28nm node and beyond, thanks to its excellent short-channel electrostatic control, low leakage currents and immunity to random dopant fluctuation. This compelling technology appears to meet the needs of nomadic devices, combining high performance and low power consumption. However, to be useful, it is essential that this technology is compatible with low operating power design platforms. A major challenge for this technology is to provide various device threshold voltages (VT), trading off power consumption and speed. The research work presented in this thesis has contributed to the development of a multi-VT design platform in FDSOI planar technology on thin buried oxide (UTB) for the 28nm and below technology nodes. In this framework, the key elements of the low power design platform in bulk planar technology have been studied. Based on this analysis, different architectures of FDSOI multi-VT MOSFETs have been developed. The analysis on the layout of elementary circuits, such as standard cells and SRAM cells, has put forward two reliable, efficient and low technological complexity multi- strategies. Finally, the performances of these solutions have been evaluated on a critical path extracted from the ARM Cortex A9 processor and a high-density 6T SRAM cell (0.120µm²). Also, an SRAM cell with four transistors has been proposed, highlighting the design flexibility brought by these solutions. This thesis has resulted in many publications, communications and patents. Today, the majority of the results obtained have been transferred to STMicroelectronics, where the industrialization is in progress.

Page generated in 0.0766 seconds