• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 215
  • 13
  • 6
  • 5
  • 4
  • 4
  • 4
  • 2
  • 2
  • Tagged with
  • 237
  • 61
  • 53
  • 43
  • 40
  • 37
  • 35
  • 35
  • 33
  • 22
  • 21
  • 21
  • 20
  • 20
  • 19
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
201

Aplicabilidade de transistor bipolar de junção em dosimetria de feixes elétrons de megavoltagem

Passos, Renan Garcia de 02 March 2015 (has links)
Coordenação de Aperfeiçoamento de Pessoal de Nível Superior / With the evolution in the radiation studies was noticed the precautions needed in the ionizing radiation applications due to the effects caused by this particles or waves interacting with the atoms as it pass through, the radiation energy deposited at certain point is known as absorbed dose. The dosimetry is a study that quantifies the dose values deposited by the radiations, this quantification is really necessary in medical applications as radiotherapy. In this work was studied the possibility to use the BC856 bipolar junction transistor in electron beam dosimetry, a radiation very common in superficial tumors treatment. The bipolar junction transistor is an electronic device that amplifies current in electric circuits; this characteristic is known as current gain. Some researches already indicated that this amplifier capacity is reduced when devices exposed to radiations, due to damage caused in the structures. The transistors studied presented slightly different current gain, even those from the same package, therefore was selected just the devices with similar gain. The transistors was irradiated by electron beans generated from a Varian linear accelerator Clinac iX, 6, 9 and 12 MeV beans was used in the tests. The collector current was measured before the irradiations and immediately after using an electrometer, to determinate the current gain reduction. It was possible to observe a linear relation between dose and damage caused in transistors. Even through the devices do not completely recover from the damages caused by radiation the devices apparent to be reusable. In the percentage dose deposited in different acrylic depth was possible no notice results from the transistors resembling the linear accelerator calibration data. It´s possible to conclude that the transistors studied may be used in megavoltage electron beam dosimetry due to the possibility to relate the damage caused in the devices and the dose absorbed by then. / Com a evolução nos estudos sobre radiação notou-se a necessidade de precauções com as aplicações das radiações ionizantes, devido aos efeitos que suas partículas e ondas eletromagnéticas podem causar ao interagir com os átomos do meio com os quais interagem. A energia das radiações depositada em um ponto é chamada de dose absorvida. A dosimetria é um estudo que visa quantificar os valores de dose depositados pelas radiações, essa quantificação é de grande necessidade em aplicações médicas como a radioterapia. Neste trabalho se estudou a possiblidade de uso do transistor bipolar de junção BC856 na dosimetria de feixes elétrons, que é um tipo de radiação muito empregado em radioterapia para o tratamento de tumores superficiais. O transistor bipolar de junção é um dispositivo eletrônico com função de amplificador de corrente em circuitos elétricos; essa característica é conhecida como ganho de corrente. Alguns estudos já mostraram que esses dispositivos tem sua capacidade de amplificar corrente diminuída quando são expostos a radiações, devido a danos causados em suas estruturas. Como os transistores estudados apresentam pequenas variações no ganho de corrente entre eles, mesmo quando de um mesmo lote, foram selecionados para este trabalho apenas aqueles com ganho semelhante. Os transistores foram irradiados com feixes de elétrons gerados por um acelerador linear Clinac iX da Varian, empregando feixes com energia de 6, 9 e 12 MeV. Os valores de corrente de coletor dos transistores eram medidos antes e imediatamente após as irradiações com o auxílio de um eletrômetro, de modo a avaliar a redução no ganho de corrente dos transistores. Foi possível observar uma relação linear entre a dose e o dano causado aos transistores pela radiação. Apesar de não se recuperarem completamente dos danos causados pela radiação, os dispositivos se mostraram reutilizáveis. Nas avaliações de percentual de dose depositada pelos feixes de elétrons em diferentes profundidades de acrílico, foi possível observar uma tendência de resultados obtidos com os transistores semelhante aos dados de calibração do acelerador linear utilizado para produção dos feixes. É possível concluir dos estudos que esses transistores podem ser usados em dosimetria de feixes de elétrons de megavoltagem devido à possibilidade de relacionar o dano causado pela radiação nos dispositivos com a dose absorvida.
202

Transistor de efeito de campo (FET) para detecção quimica e bioquimica utilizando dieletrico de porta constituido de camada empilhada SiNx/SiOxNy / Field effect transistors (FET) with dielectric gate made of a stacked layer SiNx/SiOxNy for chemical and biochemical detection

Souza, Jair Fernandes de 06 August 2009 (has links)
Orientadores: Peter Jurgen Tatsch, Jose Alexandre Diniz / Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica e de Computação / Made available in DSpace on 2018-08-13T19:29:46Z (GMT). No. of bitstreams: 1 Souza_JairFernandesde_M.pdf: 4396662 bytes, checksum: cf77f050e25403e0bd758bdb52214aa2 (MD5) Previous issue date: 2009 / Resumo: Esta dissertação consiste de duas etapas. Inicialmente são estudados filmes de nitreto de silício depositados por LPCVD, Low Pressure Chemical Vapor Deposition, utilizando-se diferentes relações de concentração de gases reagentes, [SiH2Cl2]/[NH3], e utilizando-se como substrato lâminas de silício tipo p, com e sem camada almofada de oxinitreto de silício; estruturas SiNx/Si e SiNx/SiOxNy/Si, respectivamente. Os filmes foram caracterizados física e eletricamente, bem como do ponto de vista da capacidade de adsorção de monocamadas biologicamente ativas. As características dos filmes foram comparadas, buscando-se identificar um filme cujas propriedades fossem adequadas para utilização como material dielétrico a ser empregado na porta de Transistores de Efeito de Campo química e bioquimicamente sensíveis. Os resultados da elipsometria realizada apontaram filmes com índices de refração variando de 1,875 a 1,990, indicando filmes ricos em nitrogênio, e com espessura diretamente proporcional à relação de concentração dos gases reagentes, ou seja, o aumento na relação de concentração de gases produz aumento na taxa de deposição dos filmes. A espectroscopia de absorção de infra-vermelho permitiu analisar as ligações químicas presentes nos filmes e nas monocamadas automontadas formadas pela imobilização de biomoléculas. Os espectros dos filmes apresentam picos de absorção em 827/837 cm-1 e 451/484 cm-1 que correspondem a ligações Si-N, confirmando a indicação da elipsometria referente à presença de nitrogênio. Após a formação das camadas automontadas, compostas de proteínas do tipo Imunoglobulina, IgG 2,5 e 5%, os espectros mostraram bandas de absorção de IR em torno de 3300 cm-1 e nas faixas de 1700 a 1600 cm-1 e 1600 a 1500 cm-1. Este espectro caracteriza a formação de grupos amida A, I e II, respectivamente, ou seja, a formação das monocamadas biologicamente ativas. Através de espectroscopia micro-Raman foram detectados deslocamentos nos picos principais do substrato de silício. Tais deslocamentos foram relacionados com o stress provocado pelos filmes depositados. Foram fabricados capacitores Metal/Isolante/Semicondutor, MIS, utilizando-se as estruturas dielétrico/semicondutor obtidas. Os capacitores possibilitaram realizar a caracterização elétrica dos filmes através de medidas C-V, capacitância-voltagem, de alta frequência de 1MHz, obtendo-se a densidade de cargas existente na interface dielétrico/semicondutor, em torno de 1011cm-2, e permitiram observar o comportamento da interface com a realização de etapas térmicas e a degradação em suas propriedades de recombinação. Após a fabricação e a caracterização das camadas dielétricas, foi iniciada a segunda etapa do trabalho com a fabricação de matrizes de Transistores de Efeito de Campo, FETs. Foi usado como dielétrico de porta os filmes da etapa anterior que apresentaram melhor desempenho do ponto de vista físico, elétrico, químico e biológico. A caracterização elétrica dos FETs foi realizada utilizando-se dispositivos de controle dispostos isoladamente nas pastilhas. Foram obtidas as características elétricas dos dispositivos e observado seu comportamento nas etapas térmicas. A sensibilidade química foi verificada aplicando-se analitos com diferentes concentrações de íons H+ , correspondente a diferentes valores de pH, na região de porta dos FETs. Foi demonstrada a viabilidade da utilização dos FETs fabricados na detecção química/bioquímica, com possibilidade de emprego em atividades de diagnóstico médico, controle ambiental, controle da produção de fármacos e cosméticos, e aplicações agropecuárias / Abstract: This dissertation consists of two stages. Initially are studied Silicon Nitride films deposited by LPCVD (Low Pressure Chemical Vapor Deposition) using different relationship of reagent gases concentration ([SiH2Cl2] / [NH3]) and using as substratum Silicon wafers p-type with and without pad layer of Silicon Oxinitride - SiNx/Si and SiNx/SiOxNy /Si structures. The films were characterized physically and electrically as well as the point of view of adsorption capacity of biologically active monolayer. The films characteristics were compared, seeking to identify a film whose characteristics are adequate to be used as dielectric material applied at the project and fabrication of chemically and biochemically sensitive Field Effect Transistors - FETs. Ellipsometry results pointed films with refraction indexes ranging from 1,875 to 1,990, it indicating films rich in Nitrogen, and with thickness directly proportional to the relationship of reagent gases concentration. In the other words, the increase of the relationship of gases concentration produces an increase of the films deposition rates. The infra-red absorption spectroscopy allowed us to analyze the chemical bonds present in the dielectric films and in the self assembled monolayers formed by the immobilization of biological molecules. The films spectrum have absorption spike in 827/837 cm-I and 451/484 cm-I that correspond to Si-N bonds, confirming the indication of the ellipsometry regarding as nitrogen presence. After self assembled monolayers formation composed by proteins of the type Immunoglobulin - IgG 2.5 and 5%, the spectra showed absorption bands of IR, around 3300 cm-1 and in the ranges of 1700 to 1600 cm-1 and 1600 to 1500 cm-1, spectrum that characterizes the formation of amida groups A, I and II, respectively, in other words, the formation of biologically active monolayers. Through micro-Raman spectrometry were detected displacements in the main spikes of the Silicon substratum. This displacement has been related with the stress induced by the deposited films. It was manufactured Metal Insulating Semiconductor (MIS) capacitors, using the structures dielectric/semiconductor obtained. The capacitors made possible to accomplish the electric characterization of the films through high frequency (1 MHz) capacitance-voltage (C-V) measurements, obtained the density of charges existent on the interface dielectric/semiconductor - around 1011 cm-2; and to observe the behavior of the interface with the accomplishment of thermal stages and the degradation in its recombination properties. After production and characterization of the dielectric layers, has been accomplished the second stage of the work with the production of FETs, being used as dielectric gate the films that presented better performance of the point of view physical, electric, chemical and biological. The electric characterization of the FETs that compose the arrays, has been accomplished being used the control devices disposed separately in the dies allowing to raise the characteristics of the devices construction, as well as, the behavior of the same ones when submitted to thermal stages. The chemical sensibility was verified being applied analytes with different H+ ions concentrations - different pH values - in the gate area of the FETs that compose the arrays. The viability of use of the modified FETs for chemistry/biochemistry detection was demonstrated, with employment possibility in activities of medical diagnosis, environmental control, control of the production of drugs and cosmetics and agricultural applications. / Mestrado / Eletrônica, Microeletrônica e Optoeletrônica / Mestre em Engenharia Elétrica
203

Processos termicos rapidos RTO / RTA para fabricação de dispositivos MOS / Rapid thermal process RTO / RTA for MOS devices fabrication

Cavarsan, Fabio Aparecido 06 October 2005 (has links)
Orientador: Jose Alexandre Diniz / Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica e de Computação / Made available in DSpace on 2018-08-08T23:44:14Z (GMT). No. of bitstreams: 1 Cavarsan_FabioAparecido_M.pdf: 1844366 bytes, checksum: d8325d817635ac4ad6cb932db6b5ebb6 (MD5) Previous issue date: 2005 / Mestrado / Microeletronica e Optoeletronica / Mestre em Engenharia Elétrica
204

Circuitos quaternarios : somador e multiplicador / Quaternary circuits : adder and multiplier

Mingoto Junior, Carlos Roberto 12 December 2005 (has links)
Orientador: Alberto Martins Jorge / Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Eletrica e de Computação / Made available in DSpace on 2018-08-09T08:44:01Z (GMT). No. of bitstreams: 1 MingotoJunior_CarlosRoberto_M.pdf: 657421 bytes, checksum: dc6ef4bc58fb70a90293781871a969c6 (MD5) Previous issue date: 2005 / Resumo: Os circuitos quaternários são uma alternativa para o processamento das informações, que, atualmente, acontece de forma binária. Ainda em fase de definições, a lógica multivalores mostra-se como um campo de pesquisas que pode auxiliar a busca pelo incremento de desempenho e redução de área de ocupação dos transistores de um circuito integrado. A lógica multi-valores utilizando-se de quatro dígitos na representação das informações é a lógica quaternária. Neste trabalho são propostos alguns blocos básicos de circuitos eletrônicos quaternários que, progressivamente, são aglutinados formando blocos mais complexos para finalmente construir-se um circuito meio-somador, um somador completo e um multiplicador quaternários. As montagens são feitas e testadas em simulador de circuitos eletrônicos e operam em modo corrente com transistores bipolares NPN e PNP / Abstract: The quaternary circuits are an alternative to data processing that, nowadays, occurs in a binary way. Still in a definition stage, the multiple-valued logic seems to be a research area to aid the increase of performance and reduction of area of the transistors inside an integrated circuit. The multiple-valued logic using four digits to represent the data is called quaternary logic. In this work are proposed some basic blocks of electronic quaternary circuit which are progressively joined to become more complex blocks and finally a half-adder, a full adder and a multiplier. The configurations are done and evaluated in a circuit simulator operating in a current-mode with bipolar NPN and PNP transistors / Mestrado / Eletrônica, Microeletrônica e Optoeletrônica / Mestre em Engenharia Elétrica
205

Estudo do ponto invariante com a temperatura (ZTC) em UTBB SOI nMOSFETs. / Study of zero temperature coefficient (ZTC) in UTBB SOI nMOSFETs.

Christian Nemeth Macambira 16 February 2017 (has links)
Este trabalho tem como objetivo estudar o ponto invariante com a temperatura (ZTC - Zero Temperature Coefficient) para transistores com estrutura SOI UTBB (Silicon-On-Insulator Ultra-Thin Body and BOX) nMOSFETs em relação à influência do plano de terra (GP-Ground Plane) e da espessura do filme de silício (tSi). Este estudo foi realizado nas regiões linear e de saturação, por meio da utilização de dados experimentais e de um modelo analítico. Parâmetros elétricos, como a tensão de limiar e a transcondutância foram analisados para verificar a influência do plano de terra e da espessura de filme de silício (tSi), e para estudar a polarização, entre porta e fonte, que não varia com a temperatura (VZTC). Foram utilizados dispositivos com (concentração de 1018 cm-3) e sem (concentração de 1015 cm-3) plano de terra em duas lâminas diferentes, uma com 6 nm de tSi e outra com 14 nm de tSi. Foi observado, que a presença do GP aumenta o valor de VZTC, devido ao fato do GP eliminar os efeitos de substrato no dispositivo aumentando a tensão de limiar do mesmo, e este, é diretamente proporcional a VZTC. O VZTC mostrou ser inversamente proporcional com a diminuição do tSi. Todos os resultados experimentais de VZTC foram comparados com o modelo. Foi observada uma boa concordância entre os VZTC de 25 ºC a 150 ºC, sendo que o desvio padrão foi menor que 81 mV em todos os casos estudados. Para se observar o efeito de substrato na tensão de limiar foi utilizado um modelo analítico que leva em consideração o efeito da queda de potencial no substrato, o efeito de confinamento quântico e parâmetros do dispositivo a ser modelado. O VZTC mostrou ser maior na região de saturação devido ao aumento da transcondutância e da polarização entre dreno e fonte (VDS), em ambos dispositivos (com e sem GP), chegando a ter um aumento de 360 mV em alguns casos. / This work aims to study the zero temperature coefficient point (ZTC) for transistors with SOI UTBB nMOSFETs (Silicon-On-Insulator Ultra-Thin Body and BOX) structure regarding the influence of the ground plane (GP) and the thickness of the silicon film (tSi). This study was realized in the linear and saturation region, by the use of experimental data and an analytical model. Electrical parameters such as threshold voltage and transconductance were analyzed with the objective of verifying the influence of the ground plane and silicon film thickness (tSi) in the same, and to analyze the polarization, between gate and source, that have zero influence of the temperature (VZTC). Were used devices with (concentration 1018 cm-3) and without (concentration 1015 cm-3) ground plane on two different wafers, with 6 nm tSi and the other with 14 nm tSi. It was observed that the presence GP increases the value of VZTC, because GP eliminates substrate effects and as consequence, the threshold voltage of the device increase and this is directly proportional to VZTC. The VZTC showed to be inversional proportional to the reduction of tSi. All experimental results were compared with a simple model for VZTC and were observed a good convergence between the results, for VZTC from 25 ºC to 150 ºC, and the biggest standard error observed in all the devices was 81 mV. To observe the effect of substrate on the threshold voltage, was used an analytical model that takes into account the effect of potential drop on the substrate, the effect of quantum confinement and the device parameters to be modeled. The VZTC show to be higher in the saturation region, due the increase of transconductance and the polarization between drain and source (VDS), in both devices (with and without GP), reaching an increase of 360 mV in some cases.
206

Influência da tensão de substrato em transistores SOI de camada de silício ultrafina em estruturas planares (UTBB) e de nanofio (NW). / Influence of back gate bias in SOI transistors with thin silicon film in planar (UTBB) and nanowire (NW) structure.

Vitor Tatsuo Itocazu 26 April 2018 (has links)
Esse trabalho tem como objetivo estudar o comportamento de transistores de camada de silício e óxido enterrado ultrafinos (UTBB SOI nMOSFET) e transistores de nanofios horizontais com porta ômega ? (?G NW SOI MOSFET) com ênfase na variação da tensão aplicada no substrato (VGB). As análises foram feitas através de medidas experimentais e simulações numéricas. Nos dispositivos UTBB SOI nMOSFET foram estudados dispositivos com e sem implantação de plano de terra (GP), de três diferentes tecnologias, e com diferentes comprimentos de canal. A partir do modelo analítico de tensão de limiar desenvolvido por Martino et al. foram definidos os valores de VGB. A tecnologia referência possui 6 nm de camada de silício (tSi) e no óxido de porta uma camada de 5 nm de SiO2. A segunda tecnologia tem um tSi maior (14 nm) em relação a referência e a terceira tecnologia tem no óxido de porta um material de alta constante dielétrica, HfSiO. Na tecnologia de referência, os dispositivos com GP mostraram melhores resultados para transcondutância na região de saturação (gmSAT) devido ao forte acoplamento eletrostático entre a região da porta e do substrato. Porém os dispositivos com GP apresentam uma maior influência do campo elétrico longitudinal do dreno no canal, assim os parâmetros condutância de saída (gD) e tensão Early (VEA) são degradados, consequentemente o ganho de tensão intrínseco (AV) também. Na tecnologia com tSi de 14 nm, a influência do acoplamento eletrostático entre porta e substrato é menor em relação a referência, devido à maior espessura de tSi. Como a penetração do campo elétrico do dreno é maior em dispositivos com GP, todos os parâmetros analógicos estudados são degradados em dispositivos com GP. A última tecnologia estudada, não apresenta grande variação nos resultados quando comparadodispositivos com e sem GP. O AV, por exemplo, tem uma variação entre 1% e 3% comparando os dispositivos com e sem GP. Foram feitas análises em dispositivos das três tecnologias com comprimento de canal de 70 nm, e todos os parâmetros degradaram com a diminuição do comprimento de canal, como esperado. O fato de ter um comprimento de canal menor faz com que a influência do campo elétrico longitudinal do dreno seja mais relevante, degradando assim todos os parâmetros analógicos nos dispositivos com GP. Nos dispositivos ?G NW SOI MOSFET foram feitas análises em dispositivos pMOS e nMOS com diferentes larguras de canal (WNW = 220 nm, 40 nm e 10 nm) para diferentes VGB. Através de simulações viu-se que dispositivos com largura de canal de 40 nm possuem uma condução de corrente pela segunda interface para polarizações muito altas (VGB = +20 V para nMOS e VGB -20 V para pMOS). Todavia essa condução de corrente na segunda interface ocorre ao mesmo tempo que na primeira interface, impossibilitando fazer a separação dos efeitos de cada interface.A medida que a polarização no substrato faz com que haja uma condução na segunda interface, todos os parâmetros degradam devido a essa condução parasitária. Dispositivos estreitos sofrem menor influência de VGB e, portanto, tem os parâmetros menos degradados, diferente dos dispositivos largos que tem uma grande influência de VGB no comportamento elétrico do transistor. Quando a polarização no substrato é feita a fim de que não haja condução na segunda interface, a variação da inclinação de sublimiar entre dispositivos com WNW = 220 nm e 10 nm é menor que 2 mV/déc. Porém a corrente de dreno de estado ligado do transistor (ION) apresenta melhores resultados em dispositivos largos chegando a 6 vezes maior para nMOS e 4 vezes maior para pMOS que em dispositivos estreitos. Os parâmetros analógicos sofrem pouca influência da variação de VGB. Os dispositivos estreitos (WNW = 10 nm) praticamente têm resultados constantes para gmSAT, VEA e AV. Já os dispositivos largos (WNW = 220 nm) possuem uma pequena degradação de gmSAT para os nMOS, o que degrada levemente o AV em cerca de 10 dB. A eficiência do transistor (gm/ID) apresentou grande variação com a variação de VGB, piorando-a a medida que a segunda interface ia do estado de não condução para o estado de condução. Porém analisando os dados para a tensão que não há condução na segunda interface observou-se que, em inversão forte, a eficiência do transistor apresentou uma variação de 1,1 V-1 entre dispositivos largos (WNW = 220 nm) e estreitos (WNW = 10 nm). Com o aumento do comprimento do canal, esse valor de variação tende a diminuir e dispositivos largos passam a ser uma alternativa válida para aplicação nessa região de operação. / This work aims to study the behavior of the ultrathin body and buried oxide SOI nMOSFET (UTBB SOI nMOSFET) and the horizontal ?-gate nanowire SOI MOSFET (?G NW SOI MOSFET) with the variation of the back gate bias (VGB). The analysis were made through experimental measures and numerical simulation. In the UTBB SOI nMOSFET devices, devices with and without ground plane (GP) implantation of three different technologies were studied. Based on analytical model developed by Martino et al. the values VGB were defined. The reference technology has silicon film thickness (tSi) of 6 nm and 5 nm of SiO2 in the front oxide. The second technology has a thicker tSi of 14 nm comparing to the reference and the third technology has a high-? material in the front oxide, HfSiO. In the reference technology, the devices with GP shows better result for transconductance on saturation region (gmSAT) due to the strong coupling between front gate and substrate. However, devices with GP have major influence of the drain electrical field penetration, then the output conductance (gD) and Early voltage (VEA) are degraded, consequently the intrinsic voltage gain (AV) as well. In the technology with tSi of 14 nm, the influence of the coupling between front gate and substrate is lower because of the thicker tSi. Once the drain electrical field penetration is higher in devices with GP, all analog parameters are degraded in devices with GP. The third technology, presents results very close between devices with and without GP. The AV has a variation from 1% to 3% comparing devices with and withoutGP. Devices with channel length of 70 nm were analyzed and all parameters degraded with the decrease of the channel length, as expected. Due to the shorter channel length, the influence of the drain electrical field penetration is more relevant, degrading all the analog parameters in devices with GP. In the ?G NW SOI MOSFET devices, the analysis were done in nMOS and pMOS devices with different channel width (WNW = 220 nm, 40 nm and 10 nm) for different VGB. By the simulations, devices with channel width of 40 nm have a conduction though the back interface for very high biases (+20 V for nMOS and -20 V for pMOS). However, this conduction occurs at the same time as in the front interface, so it is not possible to separate de effects of each interface. As the substrate bias voltage induces a back gate current, all the parameters are degraded due to this parasitic current. Narrow devices are less affected by VGB and thus its parameters are less degraded, different from wider devices, in which VGB has a greater influence on their behavior. When the back gate is biased in order to avoid the conduction in back interface, the subthreshold swing variation between devices with WNW = 220 nm and 10 nm is lower than 2 mV/déc. However, the on state current (ION) has better results in wide devices reaching 6 times bigger for nMOS and 4 times bigger for pMOS The analog parameterssuffer little influence of the back gate bias variation. The narrow devices (WNW = 10 nm) have practically constant results gmSAT, VEA and AV. On the other hand, wide devices (WNW = 220 nm) have a small degradation in the gmSAT for nMOS, which slightly degrades de AV. The transistor efficiency showed great variation with the back gate bias variation, worsening as the back interface went from non-conduction state to conduction state. However, when the back gate is biased avoiding the conduction in back interface, the transistor efficiency for strong inversion region has a small variation of 1,1 V-1 between wide (WNW = 220 nm) and narrow (WNW = 10 nm) devices. As the channel length increases, this value of variation tends to decrease and wide devices become a valid alternative for applications in this region of operation.
207

Um novo sensor de umidade de solo de pulso de calor de alta sensibilidade, baseado em um único transistor bipolar de junção npn = A novel high sensitivity single probe heat pulse soil moisture sensor based on a single npn bipolar junction transistor = A novel high sensitivity single probe heat pulse soil moisture sensor based on a single npn bipolar junction transistor / A novel high sensitivity single probe heat pulse soil moisture sensor based on a single npn bipolar junction transistor

Dias, Pedro Carvalhaes, 1983- 20 August 2018 (has links)
Orientador: Elnatan Chagas Ferreira / Texto em inglês / Dissertação (mestrado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação / Made available in DSpace on 2018-08-20T11:54:01Z (GMT). No. of bitstreams: 1 Dias_PedroCarvalhaes_M.pdf: 7362254 bytes, checksum: dd839cf652cbbda17a2a5d9b6cecbdc3 (MD5) Previous issue date: 2012 / Resumo: A constante preocupação em aumentar a produtividade das plantações de uma forma sustentável e otimizando o uso dos insumos agrícolas (água, fertilizantes, pesticidas e produtos para correção do PH) levou ao desenvolvimento da agricultura de precisão, que permite determinar a quantidade correta de insumos para cada região do solo (tipicamente um hectare), evitando o desperdício. Sensores de umidade de solo de baixo custo e fácil aplicação no campo são fundamentais para permitir um controle preciso da atividade de irrigação, sendo que os sensores que melhor atendem estes requisitos são os chamados sensores de dissipação de calor ou sensores de transferência de calor. Estes sensores, entretanto, apresentam um problema de baixa sensibilidade na faixa de umidade mais importante para as plantas (umidade de solo 'teta'v variando entre 5% e 35%), pois, para cobrir esta variação de 30% em 'teta'v com resolução de 1%, é necessário medir variações de temperatura de aproximadamente 0,026 ºC nos sensores de pulso de calor a duas pontas e 0,05 ºC para os sensores de pulso de calor de ponta simples. Neste trabalho foi desenvolvido um novo sensor de umidade de solo do tipo pulso de calor de ponta simples, baseado em um único elemento: um transistor bipolar de junção npn, que é usado tanto como aquecedor e como sensor de temperatura de alta precisão. Resultados experimentais, obtidos em medidas realizadas através de uma técnica de interrogação especialmente desenvolvida para este novo sensor mostram que neste trabalho foi possível obter uma sensibilidade cerca de uma ordem de grandeza maior do que nos sensores de pulso de calor com uma ponta e cerca de 20 vezes maior do que nos sensores de pulso de calor de duas pontas. Outra vantagem da técnica desenvolvida é que o aumento da sensibilidade não é obtido às custas do aumento da corrente drenada da bateria para aquecer o sensor. No sensor desenvolvido é utilizada uma corrente de apenas 6 mA para gerar o aquecimento (com energia dissipada de 1,5 J), enquanto que que os sensores de pulso de calor com ponta simples requerem cerca de 50 mA (com 2,4 J de energia dissipada) para operar. Os sensores de pulso de calor de ponta dupla também são fabricados com resistores que requerem cerca de 50 mA para o aquecimento (0.8 J de energia dissipada) para operar corretamente / Abstract: The concern regarding sustainable development and crop inputs optimization (such as water, fertilizers, pesticides and soil PH correction products) has led to the development of the precision agriculture concept, that allows to determine the exact amount of each input required on each ground section (typically one hectare), avoiding waste of inputs. Low-cost and easily handled soil moisture sensors are very important for allowing a precise irrigation control. The class of sensors which fulfill those requirements are the heat transfer sensors, where there are basically two types of devices: dual (or multi) probe heat pulse sensors and single probe heat pulse sensors. However, these sensors have a low sensitivity in the most important range of soil humidity 'teta'v for plants (usually from 5% ? 'teta'v ? 35%). To cover this 30% soil humidity range with 1% resolution it is necessary to measure temperature with a resolution of 0,026 ºC in the dual/multi probe heat pulse sensors and 0,05 ºC in the single probe heat pulse sensor. In this work it was developed a new type of single probe heat pulse sensor, comprised of a single element: an npn junction bipolar transistor, that plays the role of both the heating element and a high accuracy temperature sensor. Experimental results, obtained through an interrogation technique especially developed for this sensor, show sensitivity about one order of magnitude greater than the typical sensitivity of the single probe heat pulse sensors and 20 times greater than dual probe heat pulse sensors. Another great advantage of the developed interrogation technique is that the increase in sensibility is not obtained through a higher current being drained from the batteries that power the sensor. The developed sensor operates at a much lower current level than the other sensors, draining only 6 mA from the battery (with an energy of 150 mW). The single probe heat pulse sensor requires 50 mA and 1.5 J of energy to operate, whilst the dual probe heat pulse sensors are manufactured with resistors which also drain 50 mA from the battery with 0.8 J of dissipated energy / Mestrado / Eletrônica, Microeletrônica e Optoeletrônica / Mestre em Engenharia Elétrica
208

Desenvolvimento de materiais e métodos de fabricação de sensores químicos/bioquímicos baseados em silício e nanoestruturas de carbono (ISFET, CNTFET e GraFET) = Development of materials and methods of fabrication of chemical/biochemical sensors based on silicon and carbon nanostructures (ISFET, CNTFET and GraFET) / Development of materials and methods of fabrication of chemical/biochemical sensors based on silicon and carbon nanostructures (ISFET, CNTFET and GraFET)

Souza, Jair Fernandes de 21 August 2018 (has links)
Orientadores: Peter Jürgen Tatsch, José Alexandre Diniz / Tese (doutorado) - Universidade Estadual de Campinas, Faculdade de Engenharia Elétrica e de Computação / Made available in DSpace on 2018-08-21T00:22:30Z (GMT). No. of bitstreams: 1 Souza_JairFernandesde_D.pdf: 13128989 bytes, checksum: 25325c1093f208d379ae77adc08c90ff (MD5) Previous issue date: 2012 / Resumo: Este trabalho teve como objetivo o desenvolvimento de materiais e métodos avançados de fabricação de sensores químicos/bioquímicos. Utilizando equipamentos disponíveis no Centro de Componentes Semicondutores da UNICAMP, foram desenvolvidos e caracterizados filmes finos de alta constante dielétrica e filmes metálicos. Os materiais desenvolvidos foram empregados na fabricação de sensores baseados em transistores de efeito de campo sensíveis a íons (ISFET) e em dispositivos de efeito de campo que incorporam nanoestruturas de carbono como elemento funcional [grafeno (GraFET) e nanotubos de carbono (CNTFET)]. A aplicação dos materiais como camada sensível, dielétrico de porta e eletrodos, assim como a utilização de nanoestruturas, tem por objetivo aumentar a sensibilidade e a biocompatibilidade dos dispositivos, construir dispositivos robustos que possam ser empregados em ambientes agressivos e obter sensores com resposta linear e estável com o tempo e temperatura. Foram fabricados, caracterizados e encapsulados ISFET's com camada sensível constituída por filmes finos de nitreto de silício (SiNx)/nitreto de alumínio (AlN) e com eletrodos formados por filmes metálicos de alumínio. Filmes finos de óxido de titânio (TiOx) e óxido de tântalo (TaOx), cujas características são de interesse para aplicação como filme sensível em determinadas aplicações, também foram estudados. Os filmes foram obtidos pelas técnicas de deposição química em fase vapor (LPCVD), sputtering dc e oxidação térmica rápida (RTO). Foram desenvolvidas técnicas de fabricação de dispositivos de efeito de campo baseados em grafeno e nanotubos de carbono, utilizando como dielétrico de porta os filmes finos desenvolvidos para formar a camada sensível dos ISFET's. Entretanto, os eletrodos foram construídos empregando-se filmes finos de nitreto de tântalo (TaN) depositados por sputtering dc. Filmes sensíveis de SiNx são quimicamente estáveis e tornam os sensores robustos com sensibilidade em tensão próxima ao limite de Nernst (59 mV/pH). Entretanto, a grande sensibilidade em tensão obtida (50 mV/pH) não é transformada em alta sensibilidade em corrente (1,35 ?A/pH), devido ao baixo valor de transcondutância observado (19 ?S). Por outro lado, quando se utiliza AlN depositado a temperatura ambiente, tem-se um baixo valor de sensibilidade em tensão (20 mV/pH) que é transformado em uma alta sensibilidade em corrente (28 ?A/pH), em razão da alta transcondutância dos dispositivos (329 ?S). GraFET's e CNTFET's demonstraram a modulação da corrente entre os eletrodos de fonte e dreno pela ação do campo elétrico perpendicular, aplicado com o auxílio do eletrodo de porta. Entretanto, o efeito de campo observado é ambipolar, ou seja, existem dois regimes possíveis de operação dos dispositivos, um regime dominado pelo transporte de lacunas e outro dominado pelo transporte de elétrons. A característica ambipolar possibilita a detecção de moléculas carregadas positiva e negativamente, enquanto que o baixo coeficiente de temperatura do filme de TaN possibilita a utilização dos dispositivos em processos realizados em altas temperaturas / Abstract: The main aim of this work is the development of advanced materials and methods for the fabrication of chemical/biochemical sensors. By using equipments available in the Center of Semiconductor Components of UNICAMP, high dielectric constant thin films and metallic films have been developed and characterized. The materials developed were employed in the fabrication of sensors based on ion-sensitive field effect transistors (ISFET) and in field-effect devices incorporating carbon nanostructures as functional elements [Graphene (GraFET) and carbon nanotubes (CNTFET)]. The application of these materials as sensitive layer, gate dielectric and electrodes, as well as the use of nanostructures, aims to increase the sensitivity and biocompatibility of the devices, to build robust devices that can be used in harsh environments and obtain sensors with linear and stable response over time and temperature. ISFET's with sensitive layer consisting of thin films of silicon nitride (SiNx)/aluminum nitride (AlN) and with electrodes formed by aluminum metallic films were fabricated, characterized and packaged. Thin films of titanium oxide (TiOx) and tantalum oxide (TaOx), whose characteristics are interesting in certain applications, were also studied. The films were obtained by chemical deposition techniques in vapor phase (LPCVD), dc sputtering and rapid thermal oxidation (RTO). Techniques have been developed for manufacturing field effect devices based on graphene and carbon nanotubes, the thin films developed to form the ISFET's sensitive layer were used as gate dielectric. However, the electrodes were built by using thin film of tantalum nitride (TaN) deposited by dc sputtering. SiNx sensitive films are chemically stable and make sensors robust with sensitivity in voltage near to the Nernst limit (59 mV/pH). However, the great sensitivity in voltage (50 mV/pH) is not transformed into high current sensitivity (1.35 ?A/pH), due to the low value of transconductance (19 ?S). On the other hand, when AlN deposited at room temperature is used, a low voltage sensitivity value is obtained (20 mV/pH) that is transformed into a high sensitivity in current (28 ?A/pH), due to high transconductance of the devices (329 ?S). GraFETs and CNTFETs demonstrated the current modulation between the source and drain electrodes by the action of perpendicular electric field, applied with the aid of the gate electrode. However, the field effect observed is ambipolar, in other words, there are two possible operation regime, a regime dominated by the transport of holes and another dominated by transport of electrons. The ambipolar feature enables the detection of positively and negatively charged molecules, while the low temperature coefficient of TaN film allows the use of devices in processes carried out at high temperatures / Doutorado / Eletrônica, Microeletrônica e Optoeletrônica / Doutor em Engenharia Elétrica
209

Análises dos transistores de porta flutuante : modelamento e impacto do efeito de doses total ionizante

Grisales, Catalina Aguirre January 2013 (has links)
Nesta dissertação é apresentado o estudo dos transistores de porta flutuante (Floating Gate Transistor - FG Transistor), sua modelagem, e a análise do efeito da dose de ionização total (Total Ionizing Dose- TID) sobre os transistores FG. Para isto foi procurado e implementado um modelo de simulação elétrica do transistor FG em condições de leitura (análise DC), baseado no cálculo quantitativo da tensão na porta flutuante em função das tensões nos terminais do transistor, no valor de carga armazenado na porta flutuante e nos coeficientes de acoplamento capacitivo que apresentam este tipo de dispositivos. Para a análise do efeito TID, a tensão limiar do transistor MOS foi variada usando o método de simulação Monte Carlo, tendo em conta as variações da tensão limiar que apresentam os transistores FG submetidos na radiação ionizante. O estudo obteve como resultado a confirmação da perda de carga do FG à medida que é incrementada a dose de radiação, o que implica uma alteração na característica de retenção de carga que caracteriza as células de memórias não voláteis (Non Volatile Memory - NVM). / In this dissertation work, a study of the the floating gate Transistor (FG transistor) performed. The focus in the electrical modeling, and the analysis of the impact of the Total Ionizing Dose (TID) on the electrical performance of the device. Aiming electrical level simulation, different electric simulation models for the FG transistor in read conditions (DC analysis) were evaluated and the model best suited for implementation into the simulation tool was selected. The selected model is based on Floating Gate voltage calculation as a function of polarization voltage of the FG transistor terminals, the stored charge value in the Floating Gate and the capacitive coupling coefficient presented by this device. For the TID analysis the threshold voltage of the MOS transistor was shifted by means of a Monte Carlo simulation method, considering the threshold voltage variations when the FG transistor is subjected to the ionizing radiation.The analysis lead to the confirmation that the loss charge stored in the FG increases with the radiation dose, affecting the retention characteristics of the memory cells.
210

Efeito do eletrodo de platina e da passivação com enxofre na formação de filmes dielétricos sobre germânio

Rolim, Guilherme Koszeniewski January 2014 (has links)
As estruturas metal-óxido-semicondutor (MOS) são o coração dos transistores de efeito de campo. O estudo e caracterização físico-química desses dispositivos foram a chave para o avanço da tecnologia do Si na indústria microeletrônica. Hoje, a ciência busca novos materiais para a produção de dispositivos de alta mobilidade. Um dos materiais visados é o Ge, pois apresenta mobilidade de cargas superior ao Si (duas vezes para elétrons e quatro vezes para lacunas). Porém, a interface Ge/GeO2 é de natureza reativa, limitando seu uso na construção de tais dispositivos. Muitos esforços têm sido feitos para superar as limitações. Entre eles, encontram-se a passivação da superfície do Ge a partir de solução aquosa de (NH4)2S, previamente a deposição do dielétrico. Outra etapa do processamento desse material na indústria a ser investigada são os tratamentos térmicos posteriores à deposição: a caracterização de estruturas MOS de Pt/HfO2/Ge submetidas a tratamentos térmicos levaram a melhoria das propriedades elétricas. Nesse sentido, o trabalho tem como objetivos investigar o papel da passivação sulfídrica em estruturas dielétrico/Ge e a influência do eletrodo de Pt nas estruturas Pt/HfO2/Ge quando submetidas a tratamentos térmicos. / The heart of field effect transistors is the metal-oxide-semiconductor (MOS) structure. Physico-chemical characterization of the materials employed in such structures enabled the development of Si technology. Nowadays, in order to build high mobility devices, new material are needed. Ge is an alternative material, since its carrier mobilities are higher than those of Si (almost two times for electrons and four times higher for holes). However, the GeO2/Ge interface is not thermally stable, which is a problem for its use on electronic devices. Many attempts to enhance this stability were already investigated. Among them, sulfur passivation of the Ge surface was employed using (NH4)2S aqueous solution prior to the deposition of dielectric layers. Another important step in the fabrication of MOS structures is post-deposition annealing. Pt/HfO2/Ge MOS structures presented improved electrical characteristics following post deposition annealing. The main objectives of this work are to investigate the role of sulfur passivation on dielectric/Ge structures and the influence of Pt electrode in Pt/HfO2/Ge structures submitted to post deposition annealing.

Page generated in 0.0387 seconds