• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 13
  • 12
  • 5
  • 1
  • Tagged with
  • 31
  • 20
  • 12
  • 12
  • 12
  • 11
  • 9
  • 9
  • 7
  • 6
  • 6
  • 6
  • 5
  • 5
  • 5
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
21

Realstrukturanalyse von BaFe2As2-Dünnschichten durch Elektronenbeugung

Chekhonin, Paul 05 November 2015 (has links)
In der vorliegenden Arbeit werden epitaktische BaFe2As2 (Ba122)- Dünnschichten, die auf Spinell-Substraten mit einer Pufferschicht aus Eisen mit gepulster Laserabscheidung hergestellt wurden, durch Transmissions- (TEM) und Rasterelektronenmikroskopie (REM) untersucht. Idealerweise weisen die Ba122-Dünnschichten eine biaxiale Zugdehnung von etwa 2% auf, die zur Supraleitung in ihnen führt. Der Schwerpunkt dieser Arbeit richtet sich auf die Defektanalyse und die Untersuchung des Dehnungszustandes auf Inhomogenitäten. Auf allen Dünnschichten werden Droplets des Targetmaterials beobachtet. Wenn die Höhe der Ba122-Schicht mehr als 60 nm beträgt, erfolgt eine Relaxation der Dehnung durch die Bildung von Rissen. Experimente mit konvergenter Elektronenbeugung in einem TEM sind problematisch, weil der Dehnungszustand durch die Probenpräparation (Krümmung der TEM-Lamelle) beeinflusst wird. Durch die Verwendung hochauflösender Beugung rückgestreuter Elektronen (HREBSD) in einem REM wird gezeigt, dass in allen Ba122-Dünnschichten kleine Orientierungsänderungen des Kristallgitters (≤ 0,2°) und Inhomogenitäten des Dehnungszustandes (≤ 2 × 10-4) auf Längenskalen von wenigen 100 nm oder darunter vorliegen. Auf einer Probe werden teilweise dehnungsrelaxierte Stellen nachgewiesen. Es wird außerdem belegt, dass die Eisenpufferschicht eine sehr wichtige Rolle bei der Realstruktur der Ba122-Schicht spielt. Abschließend wird HREBSD mit konventioneller Auswertung der Beugung rückgestreuter Elektronen (EBSD) verglichen. Dabei wird ermittelt, dass mit großem Aufwand und mit optimalen experimentellen Bedingungen auch durch konventionelle Auswertung der EBSD-Pattern Orientierungsunterschiede mit einer Genauigkeit, die besser ist als 0,1°, gemessen werden können. In der Gegenwart von Probenstellen mit unterschiedlichen Dehnungszuständen ergeben sich jedoch deutliche Abweichungen im Gegensatz zu der exakten Auswertung durch HREBSD.
22

SEM-Based Automated Mineralogy and Its Application in Geo- and Material Sciences

Schulz, Bernhard, Sandmann, Dirk, Gilbricht, Sabine 17 January 2022 (has links)
Scanning electron microscopy based automated mineralogy (SEM-AM) is a combined analytical tool initially designed for the characterisation of ores and mineral processing products. Measurements begin with the collection of backscattered electron (BSE) images and their handling with image analysis software routines. Subsequently, energy dispersive X-ray spectra (EDS) are gained at selected points according to the BSE image adjustments. Classification of the sample EDS spectra against a list of approved reference EDS spectra completes the measurement. Different classification algorithms and four principal SEM-AM measurement routines for point counting modal analysis, particle analysis, sparse phase search and EDS spectral mapping are offered by the relevant software providers. Application of SEM-AM requires a high-quality preparation of samples. Suitable non-evaporating and electron-beam stable epoxy resin mixtures and polishing of relief-free surfaces in particles and materials with very different hardness are the main challenges. As demonstrated by case examples in this contribution, the EDS spectral mapping methods appear to have the most promising potential for novel applications in metamorphic, igneous and sedimentary petrology, ore fingerprinting, ash particle analysis, characterisation of slags, forensic sciences, archaeometry and investigations of stoneware and ceramics. SEM-AM allows the quantification of the sizes, geometries and liberation of particles with different chemical compositions within a bulk sample and without previous phase separations. In addition, a virtual filtering of bulk particle samples by application of numerous filter criteria is possible. For a complete mineral phase identification, X-ray diffraction data should accompany the EDS chemical analysis. Many of the materials which potentially could be characterised by SEM-AM consist of amorphous and glassy phases. In such cases, the generic labelling of reference EDS spectra and their subsequent target component grouping allow SEM-AM for interesting and novel studies on many kinds of solid and particulate matter which are not feasible by other analytical methods.
23

EBSD characterization of the eutectic microstructure in hypoeutectic Fe-C and Fe-C-Si alloys

Kante, Stefan, Leineweber, Andreas 07 August 2023 (has links)
Hypoeutectic Fe-C and Fe-C-Si model alloys were produced at different solidification conditions. Copper mold casting yields low cooling rates promoting the formation of a eutectic microstructure characterized by two morphologies: elongated cementite plates and a rod structure growing perpendicular to the plates, i.e. austenite rods in a cementite matrix. Electron beam surface remelting generates a mainly plate-like eutectic due to rapid solidification. The microstructures were characterized by light-optical microscopy and electron backscatter diffraction (EBSD). The latter allows for a spatially resolved investigation of the growth crystallography of the eutectic phases. Thereby, a possible existence of crystallographic orientations relationships between cementite and austenite within the plate-like eutectic was assessed experimentally. The eutectic phases were found to grow largely crystallographically independently. Moreover, ferrite and eutectic cementite within the decomposed eutectic microstructure comply frequently with the Bagaryatsky or the Pitsch-Petch orientation relationship. Complementary X-ray diffraction (XRD) analysis reveals a pronounced cementite {002} texture in the microstructure produced by mold casting. Characteristic changes in the lattice parameters indicate that as-cast cementite is non-stoichiometric.
24

Processing-structure-mechanical property relationships in high carbon medium manganese steels with austenitic microstructure

Luan, Guoqing 20 December 2023 (has links)
A balance between strength and ductility has been one of the most important considerations in the steel industry. Austenitic steel or multi-phase steel with retained austenite has plasticity-enhancing mechanisms, which can make it achieve high strength and good formability. Due to the occurrence of twinning-based mechanisms in high Mn steels, they have improved strength without sacrificing ductility. However, high Mn steels with extraordinary mechanical properties has not been used in mass production because of its high material cost together with welding problems and so on. As a consequence, many researchers have attempted to decrease the Mn concentration of high Mn twinning-induced plasticity steels without significant sacrifice of the mechanical properties. In the present work, a novel medium Mn steel with high C is designed with the aim of obtaining comparable mechanical properties as high Mn TWIP steel. In addition to Mn, C is also common effective austenite stabilizing element. C and Mn both increase the SFE of austenite. It should be possible to substitute at least some of the Mn in high Mn steels with C and still retain the TWIP effect. If the reduction in Mn content is not compensated for by the addition of other alloying elements, the microstructure will additionally contain some ferrite or martensite. The problem with C concentration is that it will result in the formation of carbide during the cooling process. As long as the carbide formation is suppressed, the formation of ferrite/martensite in medium Mn steels can be inhibited by an increase in the C concentration. In such cases, a soft and formable austenitic microstructure can be achieved by quenching from high austenitization temperatures to retain austenite with appropriate mechanical stability. The precipitation and dissolution of cementite in austenitic medium Mn high C steels capable of deformation-induced twinning were analyzed based on the associated length changes. Al addition was found to significantly retard the kinetics of cementite precipitation, indicating its usefulness in the design of cementite-free austenitic medium Mn steels with high C concentrations. Furthermore, Al addition changes the morphology of intragranular cementite from plate-shaped to equiaxed. The tensile properties of alloy were also examined in the present study. The present contribution discusses the mechanical properties of a bulk medium Mn high C steel with special alloying additions to oppose the precipitation of cementite. In particular, it aims to justify the mechanical properties based on crack nucleation and growth mechanisms. The reported mechanical properties enable a comparison with those of the well-known high Mn and Hadfield steels.
25

Approximate icosahedral symmetry of α-Al(Fe,Mn,Cr)Si in electron backscatter diffraction analysis of a secondary Al-Si casting alloy

Becker, Hanka, Leineweber, Andreas 07 August 2023 (has links)
Frequent systematic misindexing of electron backscatter diffraction patterns with five differently oriented pseudosymmetric solutions was observed for the cubic α-Al(Fe,M)Si phase with M = Mn, Cr encountered in secondary Al-Si casting alloy. That misindexing can be ascribed to the close structural relationship of the cubic crystal structure of α-Al(Fe,M)Si to that of the corresponding icosahedral quasicrystal. Robust identification of the correct among the five nearby solutions during automatic indexing can be achieved, which sensitively depends on the accuracy of Kikuchi-band detection applying Hough-space related indexing methods. Based on the correct crystallographic orientation solution, facets of the particles with bulk polyhedral and Chinese script morphology were determined to be {110} planes. Likewise, the habit planes of the α-Al(Fe,M)Si phase particles located at the naturally occurring oxide film are {110} planes.
26

Copper Oxide Films Grown by Atomic Layer Deposition from Bis(tri-n-butylphosphane)copper(I)acetylacetonate on Ta, TaN, Ru, and SiO2

Waechtler, Thomas, Oswald, Steffen, Roth, Nina, Jakob, Alexander, Lang, Heinrich, Ecke, Ramona, Schulz, Stefan E., Gessner, Thomas, Moskvinova, Anastasia, Schulze, Steffen, Hietschold, Michael 02 May 2009 (has links) (PDF)
The thermal atomic layer deposition (ALD) of copper oxide films from the non-fluorinated yet liquid precursor bis(tri-<it>n</it>-butylphosphane)copper(I)acetylacetonate, [(<sup><it>n</it></sup>Bu<sub>3</sub>P)<sub>2</sub>Cu(acac)], and wet O<sub>2</sub> on Ta, TaN, Ru and SiO<sub>2</sub> substrates at temperatures of < 160&deg;C is reported. Typical temperature-independent growth was observed at least up to 125&deg;C with a growth-per-cycle of ~ 0.1 &Aring; for the metallic substrates and an ALD window extending down to 100&deg;C for Ru. On SiO<sub>2</sub> and TaN the ALD window was observed between 110 and 125&deg;C, with saturated growth shown on TaN still at 135&deg;C. Precursor self-decomposition in a chemical vapor deposition mode led to bi-modal growth on Ta, resulting in the parallel formation of continuous films and isolated clusters. This effect was not observed on TaN up to about 130&deg;C and neither on Ru or SiO<sub>2</sub> for any processing temperature. The degree of nitridation of the tantalum nitride underlayers considerably influenced the film growth. With excellent adhesion of the ALD films on all substrates studied, the results are a promising basis for Cu seed layer ALD applicable to electrochemical Cu metallization in interconnects of ultralarge-scale integrated circuits.<br> &copy; 2009 The Electrochemical Society. All rights reserved. <br> / Es wird die thermische Atomlagenabscheidung (ALD) von Kupferoxidschichten, ausgehend von der unfluorierten, fl&uuml;ssigen Vorstufenverbindung Bis(tri-<it>n</it>-butylphosphan)kupfer(I)acetylacetonat, [(<sup><it>n</it></sup>Bu<sub>3</sub>P)<sub>2</sub>Cu(acac)], sowie feuchtem Sauerstoff, auf Ta-, TaN-, Ru- und SiO<sub>2</sub>-Substraten bei Temperaturen < 160&deg;C berichtet. Typisches temperaturunabh&auml;ngiges Wachstum wurde zumindest bis 125&deg;C beobachtet. Damit verbunden wurde f&uuml;r die metallischen Substrate ein Zyklenwachstum von ca. 0.1 &Aring; erzielt sowie ein ALD-Fenster, das f&uuml;r Ru bis zu einer Temperatur von 100&deg;C reicht. Auf SiO<sub>2</sub> und TaN wurde das ALD-Fenster zwischen 110 und 125&deg;C beobachtet, wobei auch bei 135&deg;C noch ges&auml;ttigtes Wachstum auf TaN gezeigt werden konnte. Die selbst&auml;ndige Zersetzung des Precursors &auml;hnlich der chemischen Gasphasenabscheidung f&uuml;hrte zu einem bimodalen Schichtwachstum auf Ta, wodurch gleichzeitig geschlossene Schichten und voneinander isolierte Cluster gebildet wurden. Dieser Effekt wurde auf TaN bis zu einer Temperatur von 130&deg;C nicht beobachtet. Ebensowenig trat er im untersuchten Temperaturbereich auf Ru oder SiO<sub>2</sub> auf. Der Nitrierungsgrad der TaN-Schichten beeinflusste hierbei das Schichtwachstum stark. Mit einer sehr guten Haftung der ALD-Schichten auf allen untersuchten Substratmaterialien erscheinen die Ergebnisse vielversprechend f&uuml;r die ALD von Kupferstartschichten, die f&uuml;r die elektrochemische Kupfermetallisierung in Leitbahnsystemen ultrahochintegrierter Schaltkreise anwendbar sind.
27

Elektrische Charakterisierung PLD-gewachsener Zinkoxid-Nanodrähte

Zimmermann, Gregor 14 October 2010 (has links) (PDF)
Die vorliegende Arbeit beschäftigt sich mit der elektrischen Charakterisierung von Zinkoxid-Nanodrähten, die mittels gepulster Laserablation (PLD) hergestellt wurden. Ausgehend von den so generierten ZnO-Nanodraht-Ensembles werden Methoden zu deren elektrischer Untersuchung diskutiert und auf praktische Anwendbarkeit hin verglichen. Die entwickelten Methoden werden auf Ensembles von auf n-leitenden ZnO- und ZnO:Ga-Dünnschichten aufgewachsenen Phosphor-dotierten ZnO-Nanodrähten angewendet. Deren reproduzierbares, in Strom–Spannungs- (I–U-) Kennlinien beobachtetes diodenartiges Verhalten wird genauer beleuchtet. Im Zusammenhang mit der elektrischen Charakterisierung einzelner ZnO-Nano-drähte werden experimentelle Methoden zur Vereinzelung und zur Kontaktierung der vereinzelten ZnO-Nanodrähte diskutiert. Dabei werden sowohl etablierte Methoden wie Elektronenstrahllithographie (EBL) als auch neue Techniken wie elektronen- und ionenstrahlinduzierte Deposition (EBID/IBID) und Strom–Spannungs-Rastersondenmikroskopie (I-AFM) behandelt und ihre Eignung für eingehende elektrische Untersuchungen und reproduzierbare Messungen analysiert. Die geeignetsten Methoden werden schließlich eingesetzt, um spezifischen Widerstand sowie Ladungsträgermobilität und -dichte sowohl in nominell undotierten als auch in Aluminium-dotierten ZnO-Nanodrähten zu untersuchen und zu vergleichen. In der Ableitung der physikalischen Materialparameter aus den Messdaten wird dabei besonderes Augenmerk auf die Einbeziehung der geometrischen Besonderheiten der Nanodrähte gegenüber Volumenmaterial- und Dünnschichtproben gelegt. Im Zuge dessen wird unter anderem ein Modell für den elektrischen Widerstand in Nanodrähten mit ihrer Länge nach veränderlichem Querschnitt abgeleitet.
28

Evaluation of novel metalorganic precursors for atomic layer deposition of Nickel-based thin films / Evaluierung neuartiger metallorganischen Präkursoren für Atomlagenabscheidung von Nickel-basierten Dünnschichten

Sharma, Varun 04 June 2015 (has links) (PDF)
Nickel und Nickel (II) -oxid werden in großem Umfang in fortgeschrittenen elektronischen Geräten verwendet. In der Mikroelektronik-Industrie wird Nickel verwendet werden, um Nickelsilizid bilden. Die Nickelmono Silizid (NiSi) wurde als ausgezeichnetes Material für Source-Drain-Kontaktanwendungen unter 45 nm-CMOS-Technologie entwickelt. Im Vergleich zu anderen Siliziden für die Kontaktanwendungen verwendet wird NiSi wegen seines niedrigen spezifischen Widerstand, niedrigen Kontaktwiderstand, relativ niedrigen Bildungstemperatur und niedrigem Siliziumverbrauchs bevorzugt. Nickel in Nickelbasis-Akkus und ferromagnetischen Direktzugriffsspeicher (RAMs) verwendet. Nickel (II) oxid wird als Transistor-Gate-Oxid und Oxid in resistive RAM genutzt wird. Atomic Layer Deposition (ALD) ist eine spezielle Art der Chemical Vapor Deposition (CVD), das verwendet wird, um sehr glatte sowie homogene Dünnfilme mit hervorragenden Treue auch bei hohen Seitenverhältnissen abzuscheiden. Es basiert auf selbstabschließenden sequentielle Gas-Feststoff-Reaktionen, die eine präzise Steuerung der Filmdicke auf wenige Angström lassen sich auf der Basis. Zur Herstellung der heutigen 3D-elektronische Geräte, sind Technologien wie ALD erforderlich. Trotz der Vielzahl von praktischen Anwendungen von Nickel und Nickel (II) -oxid, sind einige Nickelvorstufen zur thermischen basierend ALD erhältlich. Darüber hinaus haben diese Vorstufen bei schlechten Filmeigenschaften führte und die Prozesseigenschaften wurden ebenfalls begrenzt. Daher in dieser Masterarbeit mussten die Eigenschaften verschiedener neuartiger Nickelvorstufen zu bewerten. Alle neuen Vorstufen heteroleptische (verschiedene Arten von Liganden) und Komplexe wurden vom Hersteller speziell zur thermischen basierend ALD aus reinem Nickel mit H 2 als ein Co-Reaktionsmittel gestaltet. Um die neuartige Vorläufer zu untersuchen, wurde eine neue Methode entwickelt, um kleine Mengen in einer sehr zeitsparend (bis zu 2 g) von Ausgangsstoffen zu testen. Diese Methodologie beinhaltet: TGA / DTA-Kurve analysiert der Vorstufen, thermische Stabilitätstests in dem die Vorläufer (<0,1 g) wurden bei erhöhter Temperatur in einer abgedichteten Umgebung für mehrere Stunden wurde die Abscheidung Experimenten und Film Charakterisierungen erhitzt. Die Abscheidungen wurden mit Hilfe der in situ Quarzmikrowaage überwacht, während die anwendungsbezogenen Filmeigenschaften, wie chemische Zusammensetzung, physikalische Phase, Dicke, Dichte, Härte und Schichtwiderstand wurden mit Hilfe von ex situ Messverfahren untersucht. Vor der Evaluierung neuartiger Nickelvorstufen ein Benchmark ALD-Prozess war vom Referenznickelvorläufer (Ni (AMD)) und Luft als Reaktionspartner entwickelt. Das Hauptziel der Entwicklung und Optimierung von solchen Benchmark-ALD-Prozess war es, Standard-Prozessparameter wie zweite Reaktionspartner Belichtungszeiten, Argonspülung Zeiten, gesamtprozessdruck, beginnend Abscheidungstemperatur und Gasströme zu extrahieren. Diese Standard-Prozessparameter mussten verwendet, um die Prozessentwicklung Aufgabe (das spart Vorläufer Verbrauch) zu verkürzen und die Sublimationstemperatur Optimierung für jede neuartige Vorstufe werden. Die ALD Verhalten wurde in Bezug auf die Wachstumsrate durch Variation des Nickelvorläuferbelichtungszeit, Vorläufer Temperatur und Niederschlagstemperatur überprüft. / Nickel and nickel(II) oxide are widely used in advanced electronic devices . In microelectronic industry, nickel is used to form nickel silicide. The nickel mono-silicide (NiSi) has emerged as an excellent material of choice for source-drain contact applications below 45 nm node CMOS technology. As compared to other silicides used for the contact applications, NiSi is preferred because of its low resistivity, low contact resistance, relatively low formation temperature and low silicon consumption. Nickel is used in nickel-based rechargeable batteries and ferromagnetic random access memories (RAMs). Nickel(II) oxide is utilized as transistor gate-oxide and oxide in resistive RAMs. Atomic Layer Deposition (ALD) is a special type of Chemical Vapor Deposition (CVD) technique, that is used to deposit very smooth as well as homogeneous thin films with excellent conformality even at high aspect ratios. It is based on self-terminating sequential gas-solid reactions that allow a precise control of film thickness down to few Angstroms. In order to fabricate todays 3D electronic devices, technologies like ALD are required. In spite of huge number of practical applications of nickel and nickel(II) oxide, a few nickel precursors are available for thermal based ALD. Moreover, these precursors have resulted in poor film qualities and the process properties were also limited. Therefore in this master thesis, the properties of various novel nickel precursors had to be evaluated. All novel precursors are heteroleptic (different types of ligands) complexes and were specially designed by the manufacturer for thermal based ALD of pure nickel with H 2 as a co-reactant. In order to evaluate the novel precursors, a new methodology was designed to test small amounts (down to 2 g) of precursors in a very time efficient way. This methodology includes: TGA/DTA curve analyses of the precursors, thermal stability tests in which the precursors (< 0.1 g) were heated at elevated temperatures in a sealed environment for several hours, deposition experiments, and film characterizations. The depositions were monitored with the help of in situ quartz crystal microbalance, while application related film properties like chemical composition, physical phase, thickness, density, roughness and sheet resistance were investigated with the help of ex situ measurement techniques. Prior to the evaluation of novel nickel precursors, a benchmark ALD process was developed from the reference nickel precursor (Ni(amd)) and air as a co-reactant. The main goal of developing and optimizing such benchmark ALD process was to extract standard process parameters like second-reactant exposure times, Argon purge times, total process pressure, starting deposition temperature and gas flows. These standard process parameters had to be utilized to shorten the process development task (thus saving precursor consumption) and optimize the sublimation temperature for each novel precursor. The ALD behaviour was checked in terms of growth rate by varying the nickel precursor exposure time, precursor temperature and deposition temperature.
29

Copper Oxide Films Grown by Atomic Layer Deposition from Bis(tri-n-butylphosphane)copper(I)acetylacetonate on Ta, TaN, Ru, and SiO2

Waechtler, Thomas, Oswald, Steffen, Roth, Nina, Jakob, Alexander, Lang, Heinrich, Ecke, Ramona, Schulz, Stefan E., Gessner, Thomas, Moskvinova, Anastasia, Schulze, Steffen, Hietschold, Michael 02 May 2009 (has links)
The thermal atomic layer deposition (ALD) of copper oxide films from the non-fluorinated yet liquid precursor bis(tri-<it>n</it>-butylphosphane)copper(I)acetylacetonate, [(<sup><it>n</it></sup>Bu<sub>3</sub>P)<sub>2</sub>Cu(acac)], and wet O<sub>2</sub> on Ta, TaN, Ru and SiO<sub>2</sub> substrates at temperatures of < 160&deg;C is reported. Typical temperature-independent growth was observed at least up to 125&deg;C with a growth-per-cycle of ~ 0.1 &Aring; for the metallic substrates and an ALD window extending down to 100&deg;C for Ru. On SiO<sub>2</sub> and TaN the ALD window was observed between 110 and 125&deg;C, with saturated growth shown on TaN still at 135&deg;C. Precursor self-decomposition in a chemical vapor deposition mode led to bi-modal growth on Ta, resulting in the parallel formation of continuous films and isolated clusters. This effect was not observed on TaN up to about 130&deg;C and neither on Ru or SiO<sub>2</sub> for any processing temperature. The degree of nitridation of the tantalum nitride underlayers considerably influenced the film growth. With excellent adhesion of the ALD films on all substrates studied, the results are a promising basis for Cu seed layer ALD applicable to electrochemical Cu metallization in interconnects of ultralarge-scale integrated circuits.<br> &copy; 2009 The Electrochemical Society. All rights reserved. <br> / Es wird die thermische Atomlagenabscheidung (ALD) von Kupferoxidschichten, ausgehend von der unfluorierten, fl&uuml;ssigen Vorstufenverbindung Bis(tri-<it>n</it>-butylphosphan)kupfer(I)acetylacetonat, [(<sup><it>n</it></sup>Bu<sub>3</sub>P)<sub>2</sub>Cu(acac)], sowie feuchtem Sauerstoff, auf Ta-, TaN-, Ru- und SiO<sub>2</sub>-Substraten bei Temperaturen < 160&deg;C berichtet. Typisches temperaturunabh&auml;ngiges Wachstum wurde zumindest bis 125&deg;C beobachtet. Damit verbunden wurde f&uuml;r die metallischen Substrate ein Zyklenwachstum von ca. 0.1 &Aring; erzielt sowie ein ALD-Fenster, das f&uuml;r Ru bis zu einer Temperatur von 100&deg;C reicht. Auf SiO<sub>2</sub> und TaN wurde das ALD-Fenster zwischen 110 und 125&deg;C beobachtet, wobei auch bei 135&deg;C noch ges&auml;ttigtes Wachstum auf TaN gezeigt werden konnte. Die selbst&auml;ndige Zersetzung des Precursors &auml;hnlich der chemischen Gasphasenabscheidung f&uuml;hrte zu einem bimodalen Schichtwachstum auf Ta, wodurch gleichzeitig geschlossene Schichten und voneinander isolierte Cluster gebildet wurden. Dieser Effekt wurde auf TaN bis zu einer Temperatur von 130&deg;C nicht beobachtet. Ebensowenig trat er im untersuchten Temperaturbereich auf Ru oder SiO<sub>2</sub> auf. Der Nitrierungsgrad der TaN-Schichten beeinflusste hierbei das Schichtwachstum stark. Mit einer sehr guten Haftung der ALD-Schichten auf allen untersuchten Substratmaterialien erscheinen die Ergebnisse vielversprechend f&uuml;r die ALD von Kupferstartschichten, die f&uuml;r die elektrochemische Kupfermetallisierung in Leitbahnsystemen ultrahochintegrierter Schaltkreise anwendbar sind.
30

Evaluation of novel metalorganic precursors for atomic layer deposition of Nickel-based thin films

Sharma, Varun 17 February 2015 (has links)
Nickel und Nickel (II) -oxid werden in großem Umfang in fortgeschrittenen elektronischen Geräten verwendet. In der Mikroelektronik-Industrie wird Nickel verwendet werden, um Nickelsilizid bilden. Die Nickelmono Silizid (NiSi) wurde als ausgezeichnetes Material für Source-Drain-Kontaktanwendungen unter 45 nm-CMOS-Technologie entwickelt. Im Vergleich zu anderen Siliziden für die Kontaktanwendungen verwendet wird NiSi wegen seines niedrigen spezifischen Widerstand, niedrigen Kontaktwiderstand, relativ niedrigen Bildungstemperatur und niedrigem Siliziumverbrauchs bevorzugt. Nickel in Nickelbasis-Akkus und ferromagnetischen Direktzugriffsspeicher (RAMs) verwendet. Nickel (II) oxid wird als Transistor-Gate-Oxid und Oxid in resistive RAM genutzt wird. Atomic Layer Deposition (ALD) ist eine spezielle Art der Chemical Vapor Deposition (CVD), das verwendet wird, um sehr glatte sowie homogene Dünnfilme mit hervorragenden Treue auch bei hohen Seitenverhältnissen abzuscheiden. Es basiert auf selbstabschließenden sequentielle Gas-Feststoff-Reaktionen, die eine präzise Steuerung der Filmdicke auf wenige Angström lassen sich auf der Basis. Zur Herstellung der heutigen 3D-elektronische Geräte, sind Technologien wie ALD erforderlich. Trotz der Vielzahl von praktischen Anwendungen von Nickel und Nickel (II) -oxid, sind einige Nickelvorstufen zur thermischen basierend ALD erhältlich. Darüber hinaus haben diese Vorstufen bei schlechten Filmeigenschaften führte und die Prozesseigenschaften wurden ebenfalls begrenzt. Daher in dieser Masterarbeit mussten die Eigenschaften verschiedener neuartiger Nickelvorstufen zu bewerten. Alle neuen Vorstufen heteroleptische (verschiedene Arten von Liganden) und Komplexe wurden vom Hersteller speziell zur thermischen basierend ALD aus reinem Nickel mit H 2 als ein Co-Reaktionsmittel gestaltet. Um die neuartige Vorläufer zu untersuchen, wurde eine neue Methode entwickelt, um kleine Mengen in einer sehr zeitsparend (bis zu 2 g) von Ausgangsstoffen zu testen. Diese Methodologie beinhaltet: TGA / DTA-Kurve analysiert der Vorstufen, thermische Stabilitätstests in dem die Vorläufer (<0,1 g) wurden bei erhöhter Temperatur in einer abgedichteten Umgebung für mehrere Stunden wurde die Abscheidung Experimenten und Film Charakterisierungen erhitzt. Die Abscheidungen wurden mit Hilfe der in situ Quarzmikrowaage überwacht, während die anwendungsbezogenen Filmeigenschaften, wie chemische Zusammensetzung, physikalische Phase, Dicke, Dichte, Härte und Schichtwiderstand wurden mit Hilfe von ex situ Messverfahren untersucht. Vor der Evaluierung neuartiger Nickelvorstufen ein Benchmark ALD-Prozess war vom Referenznickelvorläufer (Ni (AMD)) und Luft als Reaktionspartner entwickelt. Das Hauptziel der Entwicklung und Optimierung von solchen Benchmark-ALD-Prozess war es, Standard-Prozessparameter wie zweite Reaktionspartner Belichtungszeiten, Argonspülung Zeiten, gesamtprozessdruck, beginnend Abscheidungstemperatur und Gasströme zu extrahieren. Diese Standard-Prozessparameter mussten verwendet, um die Prozessentwicklung Aufgabe (das spart Vorläufer Verbrauch) zu verkürzen und die Sublimationstemperatur Optimierung für jede neuartige Vorstufe werden. Die ALD Verhalten wurde in Bezug auf die Wachstumsrate durch Variation des Nickelvorläuferbelichtungszeit, Vorläufer Temperatur und Niederschlagstemperatur überprüft.:Lists of Abbreviations and Symbols VIII Lists of Figures and Tables XIV 1 Introduction 1 I Theoretical Part 3 2 Nickel and Nickel Oxides 4 2.1 Introduction and Existence 5 2.2 Material properties of Nickel and Nickel Oxide 5 2.3 Application in electronic industry 5 3 Atomic Layer Deposition 7 3.1 History 8 3.2 Definition 8 3.3 Features of thermal-ALD 8 3.3.1 ALD growth mechanism – an ideal view 8 3.3.2 ALD growth behaviour 10 3.3.3 Growth mode 11 3.3.4 ALD temperature window 11 3.4 Benefits and limitations 12 3.5 Precursor properties for thermal-ALD 13 3.6 ALD & CVD of Nickel – A literature survey 13 4 Metrology 17 4.1 Thermal analysis of precursors 18 4.2 Film and growth characterization 21 4.2.1 Quartz Crystal Microbalance 21 4.2.2 Spectroscopic Ellipsometry 24 4.2.3 X-Ray Photoelectron Spectroscopy 28 4.2.4 Scanning Electron Microscopy 29 4.2.5 X-Ray Reflectometry and X-Ray Diffraction 29 4.2.6 Four Point Probe Technique 20 5 Rapid Thermal Processing 32 5.1 Introduction 33 5.2 Basics of RTP 33 5.3 Nickel Silicides-A literature survey 33 II Experimental Part 36 6 Methodologies 37 6.1 Experimental setup 38 6.2 ALD process 41 6.2.1 ALD process types and substrate setups 41 6.2.2 Process parameters 41 6.3 Experimental procedure 42 6.3.1 Tool preparation 42 6.3.2 Thermal analysis and ALD experiments from nickel precursors 43 6.3.3 Data acquisition and evaluation 44 6.3.4 Characterization of film properties 46 7 Results and discussion 48 7.1 Introduction 49 7.2 QCM verification with Aluminum Oxide ALD process 49 7.3 ALD process from the reference precursor 50 7.3.1 Introduction 50 7.3.2 TG analysis for Ni(amd) precursor 51 7.3.3 Thermal stability test for Ni(amd) 51 7.3.4 ALD process optimization 52 7.3.5 Film properties 54 7.4 Evaluating the novel Nickel precursors 55 7.4.1 Screening tests for precursor P1 55 7.4.2 Screening tests for precursor P2 62 7.4.3 Screening tests for precursor P3 66 7.4.4 Screening tests for precursor P4 70 7.4.5 Screening tests for precursor P5 72 7.5 Comparison of all nickel precursors used in this work 74 8 Conclusions and outlook 77 References 83 III Appendix 101 A Deposition temperature control & Ellipsometry model 102 B Gas flow plan 105 / Nickel and nickel(II) oxide are widely used in advanced electronic devices . In microelectronic industry, nickel is used to form nickel silicide. The nickel mono-silicide (NiSi) has emerged as an excellent material of choice for source-drain contact applications below 45 nm node CMOS technology. As compared to other silicides used for the contact applications, NiSi is preferred because of its low resistivity, low contact resistance, relatively low formation temperature and low silicon consumption. Nickel is used in nickel-based rechargeable batteries and ferromagnetic random access memories (RAMs). Nickel(II) oxide is utilized as transistor gate-oxide and oxide in resistive RAMs. Atomic Layer Deposition (ALD) is a special type of Chemical Vapor Deposition (CVD) technique, that is used to deposit very smooth as well as homogeneous thin films with excellent conformality even at high aspect ratios. It is based on self-terminating sequential gas-solid reactions that allow a precise control of film thickness down to few Angstroms. In order to fabricate todays 3D electronic devices, technologies like ALD are required. In spite of huge number of practical applications of nickel and nickel(II) oxide, a few nickel precursors are available for thermal based ALD. Moreover, these precursors have resulted in poor film qualities and the process properties were also limited. Therefore in this master thesis, the properties of various novel nickel precursors had to be evaluated. All novel precursors are heteroleptic (different types of ligands) complexes and were specially designed by the manufacturer for thermal based ALD of pure nickel with H 2 as a co-reactant. In order to evaluate the novel precursors, a new methodology was designed to test small amounts (down to 2 g) of precursors in a very time efficient way. This methodology includes: TGA/DTA curve analyses of the precursors, thermal stability tests in which the precursors (< 0.1 g) were heated at elevated temperatures in a sealed environment for several hours, deposition experiments, and film characterizations. The depositions were monitored with the help of in situ quartz crystal microbalance, while application related film properties like chemical composition, physical phase, thickness, density, roughness and sheet resistance were investigated with the help of ex situ measurement techniques. Prior to the evaluation of novel nickel precursors, a benchmark ALD process was developed from the reference nickel precursor (Ni(amd)) and air as a co-reactant. The main goal of developing and optimizing such benchmark ALD process was to extract standard process parameters like second-reactant exposure times, Argon purge times, total process pressure, starting deposition temperature and gas flows. These standard process parameters had to be utilized to shorten the process development task (thus saving precursor consumption) and optimize the sublimation temperature for each novel precursor. The ALD behaviour was checked in terms of growth rate by varying the nickel precursor exposure time, precursor temperature and deposition temperature.:Lists of Abbreviations and Symbols VIII Lists of Figures and Tables XIV 1 Introduction 1 I Theoretical Part 3 2 Nickel and Nickel Oxides 4 2.1 Introduction and Existence 5 2.2 Material properties of Nickel and Nickel Oxide 5 2.3 Application in electronic industry 5 3 Atomic Layer Deposition 7 3.1 History 8 3.2 Definition 8 3.3 Features of thermal-ALD 8 3.3.1 ALD growth mechanism – an ideal view 8 3.3.2 ALD growth behaviour 10 3.3.3 Growth mode 11 3.3.4 ALD temperature window 11 3.4 Benefits and limitations 12 3.5 Precursor properties for thermal-ALD 13 3.6 ALD & CVD of Nickel – A literature survey 13 4 Metrology 17 4.1 Thermal analysis of precursors 18 4.2 Film and growth characterization 21 4.2.1 Quartz Crystal Microbalance 21 4.2.2 Spectroscopic Ellipsometry 24 4.2.3 X-Ray Photoelectron Spectroscopy 28 4.2.4 Scanning Electron Microscopy 29 4.2.5 X-Ray Reflectometry and X-Ray Diffraction 29 4.2.6 Four Point Probe Technique 20 5 Rapid Thermal Processing 32 5.1 Introduction 33 5.2 Basics of RTP 33 5.3 Nickel Silicides-A literature survey 33 II Experimental Part 36 6 Methodologies 37 6.1 Experimental setup 38 6.2 ALD process 41 6.2.1 ALD process types and substrate setups 41 6.2.2 Process parameters 41 6.3 Experimental procedure 42 6.3.1 Tool preparation 42 6.3.2 Thermal analysis and ALD experiments from nickel precursors 43 6.3.3 Data acquisition and evaluation 44 6.3.4 Characterization of film properties 46 7 Results and discussion 48 7.1 Introduction 49 7.2 QCM verification with Aluminum Oxide ALD process 49 7.3 ALD process from the reference precursor 50 7.3.1 Introduction 50 7.3.2 TG analysis for Ni(amd) precursor 51 7.3.3 Thermal stability test for Ni(amd) 51 7.3.4 ALD process optimization 52 7.3.5 Film properties 54 7.4 Evaluating the novel Nickel precursors 55 7.4.1 Screening tests for precursor P1 55 7.4.2 Screening tests for precursor P2 62 7.4.3 Screening tests for precursor P3 66 7.4.4 Screening tests for precursor P4 70 7.4.5 Screening tests for precursor P5 72 7.5 Comparison of all nickel precursors used in this work 74 8 Conclusions and outlook 77 References 83 III Appendix 101 A Deposition temperature control & Ellipsometry model 102 B Gas flow plan 105

Page generated in 0.2442 seconds