• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 29
  • 17
  • 4
  • 1
  • Tagged with
  • 64
  • 64
  • 31
  • 24
  • 13
  • 11
  • 10
  • 10
  • 9
  • 8
  • 7
  • 7
  • 7
  • 7
  • 7
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
31

A hierarchical optimization engine for nanoelectronic systems using emerging device and interconnect technologies

Pan, Chenyun 21 September 2015 (has links)
A fast and efficient hierarchical optimization engine was developed to benchmark and optimize various emerging device and interconnect technologies and system-level innovations at the early design stage. As the semiconductor industry approaches sub-20nm technology nodes, both devices and interconnects are facing severe physical challenges. Many novel device and interconnect concepts and system integration techniques are proposed in the past decade to reinforce or even replace the conventional Si CMOS technology and Cu interconnects. To efficiently benchmark and optimize these emerging technologies, a validated system-level design methodology is developed based on the compact models from all hierarchies, starting from the bottom material-level, to the device- and interconnect-level, and to the top system-level models. Multiple design parameters across all hierarchies are co-optimized simultaneously to maximize the overall chip throughput instead of just the intrinsic delay or energy dissipation of the device or interconnect itself. This optimization is performed under various constraints such as the power dissipation, maximum temperature, die size area, power delivery noise, and yield. For the device benchmarking, novel graphen PN junction devices and InAs nanowire FETs are investigated for both high-performance and low-power applications. For the interconnect benchmarking, a novel local interconnect structure and hybrid Al-Cu interconnect architecture are proposed, and emerging multi-layer graphene interconnects are also investigated, and compared with the conventional Cu interconnects. For the system-level analyses, the benefits of the systems implemented with 3D integration and heterogeneous integration are analyzed. In addition, the impact of the power delivery noise and process variation for both devices and interconnects are quantified on the overall chip throughput.
32

Etude de l'intégration du collage direct cuivre/oxyde pour l'élaboration d'une architecture 3D-SIC / Study of the integration of copper/oxide direct bonding for the development of a 3D-SIC architecture

Beilliard, Yann 02 April 2015 (has links)
Cette thèse s'inscrit dans le contexte de l'intégration tridimensionnelle des dispositifs électroniques. Parmi les différentes techniques permettant d'assembler à la fois mécaniquement et électriquement les puces empilées, le collage direct de surfaces mixtes Cu-SiO2 représente l'option la plus prometteuse à ce jour. En effet, cette méthode permet d'atteindre la densité d'interconnexions de 106/cm² visée par l'industrie, tout en offrant une faible résistivité de contact et une excellente fiabilité. L'objectif de ce travail est de démontrer la compatibilité du procédé de collage direct hybride Cu-SiO2 avec des intégrations et des architectures proches de circuits réels. Dans ce but, des véhicules de tests intégrant des structures de cuivre à deux et quatre niveaux d'interconnexions ont été conçus spécifiquement. De plus, des simulations par éléments finis du procédé collage direct ont été développées au sein du logiciel Abaqus. Dans un premier temps, le procédé de collage direct puce-à-plaque en 200 et 300 mm est validé. Des caractérisations morphologiques et électriques montrent que cette méthode d'assemblage ne dégrade pas l'intégrité et les performances de structures de tests à deux niveaux par rapport à une intégration plaque-à-plaque. Par ailleurs, des tests de cyclage thermique confirment l'excellente robustesse mécanique des empilements. La deuxième partie de cette thèse s'intéresse à la caractérisation de la morphologie, des performances électriques et de la fiabilité de structures de tests à quatre niveaux d'interconnexions. Dans ce cas, l'architecture plaque-à-plaque en 200 mm des véhicules de tests se veut proche d'une intégration industrielle. Les diverses observations par microscopie électronique à balayage et en transmission indiquent une excellente qualité de collage des interfaces Cu/Cu et SiO2/SiO2. Par ailleurs, les mécanismes de formation des cavités nanométriques à l'interface Cu/Cu et le phénomène de diffusion du cuivre dans la silice sont investigués. Les caractérisations électriques révèlent des rendements de fonctionnement supérieurs à 95 % ainsi que des écarts types inférieurs à 3 % après recuit à 200 ou 400 °C. Enfin, les études de fiabilité incluant des tests de stockage en chaleur humide, de cyclage thermique, de stockage en température et d'électromigration attestent de la résistance à la corrosion et de la robustesse mécanique de cette intégration. Pour finir, les simulations par éléments finis indiquent que les interactions cohésives à l'interface de collage, combinées à la dilatation thermique du cuivre pendant le recuit, assistent significativement le processus de collage de surfaces de cuivre incurvées par sur-polissage. En outre, la déformation plastique macroscopique du cuivre semble avoir un effet néfaste sur le processus de scellement en freinant la propagation de l'onde de collage. / The context of this work is the three-dimensional integration of electronic devices. Among the various techniques allowing to assemble both mechanically and electrically stacked chips, the direct bonding of Cu-SiO2 mixed surfaces is the most promising option to date. Thanks to this method, the interconnection density of 106/cm² aimed by the industry is achievable, while providing a low contact resistivity and excellent reliability. The objective of this study is to demonstrate the compatibility of the direct hybrid bonding Cu-SiO2 process with integrations and architectures that mimic real circuits. For this purpose, test vehicles incorporating two-layer and four-layer copper test structures have been specifically designed. Furthermore, finite element simulations of the direct bonding process have been developed within the Abaqus software. First, the 200 and 300 mm chip-to-wafer direct bonding process is validated. Morphological and electrical characterizations show that this stacking method does not deteriorate the integrity and performances of two-layer test structures with respect to a wafer-to-wafer integration. Furthermore, thermal cycling tests confirm the excellent mechanical strength of the bonded dies. The second part of this work focuses on morphological, electrical and reliability characterizations of four-layer test structures. In this case, the 200 mm wafer-to-wafer architecture of the test vehicles is close to an industrial integration. The various observations conducted with scanning and transmission electron microscopy indicate an excellent bonding quality of Cu/Cu and SiO2/SiO2 interfaces. Furthermore, the formation mechanisms of cavities at the Cu/Cu interface and the copper diffusion phenomenon in the silica are investigated. Electrical characterizations show functional yields above 95 % and standard deviations below 3 % after annealing at 200 or 400 °C. Finally, reliability studies including unbiased HAST, thermal cycling, temperature storage and électromigration test prove the resistance to corrosion and the mechanical robustness of this integration. Finally, the finite element simulations indicate that the cohesive interactions at the bonding interface, combined with the thermal expansion of the copper during the annealing, significantly assist the bonding process of copper surfaces with a dishing effect. In addition, the macroscopic plastic deformation of the copper appears to have a detrimental effect on the sealing of the interface by slowing the propagation of the bonding wave.
33

Matrice de nanofils piézoélectriques interconnectés pour des applications capteur haute résolution : défis et solutions technologiques / Interconnected piezoelectric nanowire matrix for high resolution sensor applications : technological challenges and solutions

Leon Perez, Edgar 04 March 2016 (has links)
Ce projet de thèse aborde la question de l’intégration hétérogène de nanofils interconnectés sur des puces microélectroniques à destination de dispositifs de type MEMS et NEMS. Ces dispositifs visent à adresser la problématique globale qu’est le « More than Moore », c’est-à-dire la transformation des filières CMOS classiques pour permettre le développement de nouveaux micro et nano-composants intégrés.En particulier, ces dernières années, une variété de dispositifs à base de nanomatériaux ont vu le jour, conférant à des dispositifs de type micro-actionneurs et micro-capteurs de nouvelles fonctionnalités et/ou des performances accrues, e.g. en termes de résolution, sensibilité, sélectivité. Nous nous intéresserons ici à un certain type de nanostructures, les nanofils d’oxyde de zinc (ZnO), qui ont surtout été utilisés pour concevoir des dispositifs dont le principe de fonctionnement exploite l’effet piézoélectrique, souvent astucieusement combiné avec leurs propriétés semiconductrices. En effet, sous l’effet d’une contrainte mécanique ou d’un déplacement, les nanofils piézoélectriques génèrent un potentiel électrique (piézopotentiel). Si, en outre, les nanofils sont semiconducteurs, le piézopotentiel peut être utilisé pour contrôler un courant externe en fonction de la contrainte mécanique imposée au nanofil (effet piézotronique). L’avantage d’utiliser des nanostructures unidimensionnelles réside dans la modularité de leurs propriétés mécaniques et piézoélectriques en comparaison avec le matériau massif. Par ailleurs, leur intégration est aujourd’hui possible par des voies de croissance compatibles avec les procédés microélectroniques (CMOS/MEMS). Toutes ces considérations rendent possibles la conception de dispositifs très haute performance combinant la faible dimension des éléments fonctionnels (et donc une forte densité d’intégration synonyme de haute résolution spatiale) et leur sensibilité à des phénomènes d’échelle nanoscopique.Dans ce projet de thèse, on adoptera une vision très technologique de la conception de capteurs matriciels à base de nanofils piézoélectriques verticaux en ZnO. S’appuyant sur la prédiction des performances théoriques et la levée des verrous technologiques associés à la conception et la fabrication du capteur, cette étude s’attache à fournir des prototypes faisant la preuve de concept de ces dispositifs haute performance. Dans un premier temps, la réflexion s’articule autour de modèles multi-physiques par éléments finis (FEM) de la réponse piézoélectrique d’un seul nanofil en flexion, modèle que nous avons fait évoluer vers des pixels complets représentatifs d’un nanofil interconnecté dans une matrice. Sur la base de ces considérations, nous avons imaginé des moyens de caractérisation de la réponse piézoélectrique d’un fil, puis d’un pixel. Le banc de caractérisation mis en place a mis en évidence la complexité d’une mesure piézoélectrique systématique, calibrée et décorrélée des éléments environnants du pixel. Des solutions technologiques adéquates ont pu être imaginées et mises en œuvre à travers la réalisation de pixels élémentaires caractérisables et dont la réponse piézoélectrique peut être prédite théoriquement.Cette réalisation a fait appel à un développement en plusieurs étapes, incluant la croissance par voie chimique des nanofils en ZnO, puis la conception de la matrice d’électrodes contactant individuellement les nanofils. La première se découpe en deux étapes : d’abord le choix d’une couche de germination favorisant la croissance sur puce silicium et compatible avec les procédés de salle blanche ; ensuite le développement d’un procédé de croissance permettant la localisation des nanofils au sein d’une matrice d’électrodes. La seconde moitié du travail de fabrication a consisté à définir et à optimiser l’empilement technologique respectant toutes les considérations abordées jusqu’alors, et à définir les procédés technologiques aboutissant à la fabrication de la matrice finale. / This thesis project deals with the question of heterogeneous integration of interconnected nanowires on microelectronics chips in a view to MEMS and NEMS type devices. These devices aim to address the global problematic of “More than Moore”, that is the transformation of classical CMOS microelectronics processes to enable the development of new integrated micro and nanocomponents.In particular, over the past few years, a variety of nanomaterial-based devices have arisen, revealing micro-actuators and micro-sensors with new functionalities and/or improved performances, e.g. in terms of resolution, sensitivity, selectivity. Here we will focus on a certain type of nanostructures, Zinc Oxide (ZnO) nanowires, which have mostly been used so far to design devices whose working principle exploits the piezoelectric effect, often judiciously combined with their semiconducting properties. Indeed, when submitted to a mechanical constraint or displacement, piezoelectric nanowires generate an electrical potential (piezopotential). If, in addition to this, nanowires are also semiconducting, the piezopotential can be exploited to control an external current as a function of the mechanical constraint imposed to the nanowire (piezotronic effect). The advantage of using one-dimensional nanostructures lies into the modularity of both their mechanical and piezoelectric properties, in comparison with the bulk material. Moreover, their integration is now possible thanks to growth processes compatible with microelectronic processes (CMOS/MEMS). All these considerations make it possible to design very high performance devices combining the very small dimension of their functional unit elements (hence a high integration density which implies a high spatial resolution) and their sensitivity to nanoscale phenomena.In this project, we will adopt a very technology-oriented vision of the design of vertically-aligned ZnO-piezoelectric-nanowire matrix-type sensors. Relying on theoretical performance predictions and technological choices to solve device design and fabrication issues, this study aims to produce proof-of-concept prototypes of these high performance devices. First of all, the design process is elaborated based on finite element multiphysics models (FEM) of the piezoelectric response of a single bent nanowire, which we upgraded towards complete pixels, representative of an interconnected nanowire within a matrix. Following these considerations, we have imagined means of characterization of the piezoelectric response of a wire, then of a pixel. The implemented characterization experiment highlighted the complexity of carrying out a systematic, calibrated piezoelectric measurement, decorrelated from the environment of the pixel. Adequate technological solutions could then be implemented through the fabrication of elementary pixels suitable for characterization and whose piezoelectric response could be predictively modeled.This technological part of the work encompassed several development stages, including the chemical growth of ZnO nanowires and the design of the electrode matrix contacting the nanowires individually. The former splits into two steps: first choosing a clean-room compatible seed layer which will favor growth on a Silicon chip; secondly developing a selective growth process enabling the localization of nanowires within a predefined matrix of electrodes. The second part of the fabrication work focused on defining and optimizing the technological stack with respect to all the above mentioned considerations, and implementing the technological processes yielding the final targeted matrix.
34

Caractérisation et modélisation mécaniques de couches minces pour la fabrication de dispositifs microélectronoiques-application au domaine de l'intégration 3D / Mechanical characterization and modeling of thin films for processing of microelectronic devices - application to the fied of 3D integration

Isselé, Hélène 06 February 2014 (has links)
Fabriquer des dispositifs microélectroniques en utilisant des technologies d'intégration 3D nécessite une connaissance approfondie des problématiques mécaniques. En effet, les matériaux intégrés ont des propriétés thermomécaniques variées et sont déposés en couches minces sur un substrat aminci afin de pouvoir réaliser les interconnexions. Cette configuration nécessite un contrôle strict du niveau de déformation et de contrainte des dispositifs durant leur fabrication, afin de garantir leur intégrité. L'objectif de ce travail de thèse est d'exploiter les techniques de caractérisation disponibles au LETI, et de les associer à des outils de modélisation pour répondre à cette problématique. Ce couplage permet de contrôler le comportement mécanique d'un empilement complexe à chaque étape de sa fabrication. Les techniques expérimentales employées sont non destructives. Les outils de modélisation prennent en compte les propriétés élastiques et thermiques de chaque matériau de l'empilement, ainsi que les déformations intrinsèques engendrées par les étapes de dépôt de chaque couche. Des méthodologies couplées ont été développées afin de déterminer ces données d'entrée. A partir d'une base de données matériaux, un outil de prédiction du comportement mécanique d'un assemblage multicouches a été développé et validé expérimentalement. Il permet de prédire le niveau de déformation et de contrainte de l'empilement. Les prédictions mécaniques permettent d'orienter le choix des matériaux à intégrer afin d'améliorer l'intégrité des dispositifs et d'optimiser leur fabrication. Elles permettent également d'anticiper les problèmes de fiabilité provoqués à plus long terme par des contraintes et déformations trop élevées. / The fabrication of microelectronic devices using 3D integration technologies requires a good knowledge of mechanical issues. Indeed, the thin films that are integrated have various thermomechanical properties and are deposited onto a substrate that is thinned in order to carry out the interconnections. The level of stresses and strains in devices has to be strictly controlled during their processing.The goal of this work is to exploit the characterization techniques available at the LETI and to couple them with modeling tools to address this issue. This coupling is used to control the mechanical behavior of a complex stack at each step of its fabrication. The experimental techniques that are used are non-destructive. The modeling tools take into account the elastic and thermal properties of each material involved in the stack, and also the intrinsic strains caused by the deposition of each layer. Coupled methodologies have been carried out to evaluate these input data. From a material database, a tool to predict the mechanical behavior of a multilayer stack was developed and validated experimentally. It enables to predict the level of strain and stress of the stack. Mechanical predictions enable to guide the selection of materials in order to improve the devices integrity and optimize their fabrication. Reliability issues that occur in the long term, due to a significant level of stress and strain can also be anticipated
35

Etude de l'intégration du collage direct cuivre/oxyde pour l'élaboration d'une architecture 3D-SIC / Study of the integration of copper/oxide direct bonding for the development of a 3D-SIC architecture

Beilliard, Yann 02 April 2015 (has links)
Cette thèse s'inscrit dans le contexte de l'intégration tridimensionnelle des dispositifs électroniques. Parmi les différentes techniques permettant d'assembler à la fois mécaniquement et électriquement les puces empilées, le collage direct de surfaces mixtes Cu-SiO2 représente l'option la plus prometteuse à ce jour. En effet, cette méthode permet d'atteindre la densité d'interconnexions de 106/cm² visée par l'industrie, tout en offrant une faible résistivité de contact et une excellente fiabilité. L'objectif de ce travail est de démontrer la compatibilité du procédé de collage direct hybride Cu-SiO2 avec des intégrations et des architectures proches de circuits réels. Dans ce but, des véhicules de tests intégrant des structures de cuivre à deux et quatre niveaux d'interconnexions ont été conçus spécifiquement. De plus, des simulations par éléments finis du procédé collage direct ont été développées au sein du logiciel Abaqus. Dans un premier temps, le procédé de collage direct puce-à-plaque en 200 et 300 mm est validé. Des caractérisations morphologiques et électriques montrent que cette méthode d'assemblage ne dégrade pas l'intégrité et les performances de structures de tests à deux niveaux par rapport à une intégration plaque-à-plaque. Par ailleurs, des tests de cyclage thermique confirment l'excellente robustesse mécanique des empilements. La deuxième partie de cette thèse s'intéresse à la caractérisation de la morphologie, des performances électriques et de la fiabilité de structures de tests à quatre niveaux d'interconnexions. Dans ce cas, l'architecture plaque-à-plaque en 200 mm des véhicules de tests se veut proche d'une intégration industrielle. Les diverses observations par microscopie électronique à balayage et en transmission indiquent une excellente qualité de collage des interfaces Cu/Cu et SiO2/SiO2. Par ailleurs, les mécanismes de formation des cavités nanométriques à l'interface Cu/Cu et le phénomène de diffusion du cuivre dans la silice sont investigués. Les caractérisations électriques révèlent des rendements de fonctionnement supérieurs à 95 % ainsi que des écarts types inférieurs à 3 % après recuit à 200 ou 400 °C. Enfin, les études de fiabilité incluant des tests de stockage en chaleur humide, de cyclage thermique, de stockage en température et d'électromigration attestent de la résistance à la corrosion et de la robustesse mécanique de cette intégration. Pour finir, les simulations par éléments finis indiquent que les interactions cohésives à l'interface de collage, combinées à la dilatation thermique du cuivre pendant le recuit, assistent significativement le processus de collage de surfaces de cuivre incurvées par sur-polissage. En outre, la déformation plastique macroscopique du cuivre semble avoir un effet néfaste sur le processus de scellement en freinant la propagation de l'onde de collage. / The context of this work is the three-dimensional integration of electronic devices. Among the various techniques allowing to assemble both mechanically and electrically stacked chips, the direct bonding of Cu-SiO2 mixed surfaces is the most promising option to date. Thanks to this method, the interconnection density of 106/cm² aimed by the industry is achievable, while providing a low contact resistivity and excellent reliability. The objective of this study is to demonstrate the compatibility of the direct hybrid bonding Cu-SiO2 process with integrations and architectures that mimic real circuits. For this purpose, test vehicles incorporating two-layer and four-layer copper test structures have been specifically designed. Furthermore, finite element simulations of the direct bonding process have been developed within the Abaqus software. First, the 200 and 300 mm chip-to-wafer direct bonding process is validated. Morphological and electrical characterizations show that this stacking method does not deteriorate the integrity and performances of two-layer test structures with respect to a wafer-to-wafer integration. Furthermore, thermal cycling tests confirm the excellent mechanical strength of the bonded dies. The second part of this work focuses on morphological, electrical and reliability characterizations of four-layer test structures. In this case, the 200 mm wafer-to-wafer architecture of the test vehicles is close to an industrial integration. The various observations conducted with scanning and transmission electron microscopy indicate an excellent bonding quality of Cu/Cu and SiO2/SiO2 interfaces. Furthermore, the formation mechanisms of cavities at the Cu/Cu interface and the copper diffusion phenomenon in the silica are investigated. Electrical characterizations show functional yields above 95 % and standard deviations below 3 % after annealing at 200 or 400 °C. Finally, reliability studies including unbiased HAST, thermal cycling, temperature storage and électromigration test prove the resistance to corrosion and the mechanical robustness of this integration. Finally, the finite element simulations indicate that the cohesive interactions at the bonding interface, combined with the thermal expansion of the copper during the annealing, significantly assist the bonding process of copper surfaces with a dishing effect. In addition, the macroscopic plastic deformation of the copper appears to have a detrimental effect on the sealing of the interface by slowing the propagation of the bonding wave.
36

Analyse et optimisation des performances électriques des réseaux d'interconnexions et des composants passifs dans les empilements 3D de circuits intégrés / Analysis and optimization of electrical performance of interconnections networks and passives components used in 3D integrated circuits

Roullard, Julie 15 December 2011 (has links)
Ces travaux de doctorat portent sur la caractérisation, la modélisation et l'optimisation des performances électriques des réseaux d'interconnexions dans les empilements 3D de circuits intégrés. Dans un premier temps des outils de caractérisation ont été développés pour les briques élémentaires d'interconnexions spécifiques à l'intégration 3D : les interconnexions de redistribution (RDL), les interconnexions enfouies dans le BEOL, les vias traversant le silicium (TSV) et les piliers de cuivre (Cu-Pillar). Des modèles électriques équivalents sont proposés et validés sur une très large bande de fréquence (MHz-GHz) par modélisation électromagnétique. Une analyse des performances électriques des chaînes complètes d'interconnexions des empilements 3D de puces est ensuite effectuée. Les empilements « Face to Face », « Face to Back » et par « Interposer » sont comparés en vue d'établir leurs performances respectives en terme de rapidité de transmission. Une étude est aussi réalisée sur les inductances 2D intégrées dans le BEOL et dont les performances électriques sont fortement impactées par le report des substrats de silicium. La dernière partie est consacrée à l'établissement de stratégies d'optimisation des performances des circuits 3D en vue de maximiser leur fréquence de fonctionnement, minimiser les retards de propagation et assurer l'intégrité des signaux (digramme de l'œil). Des réponses sont données aux concepteurs de circuits 3D quant aux meilleurs choix d'orientation des puces, de routage et de densité d'intégration. Ces résultats sont valorisés sur une application concrète de circuits 3D « mémoire sur processeur » (Wide I/O) pour lesquels les spécifications requises sur les débits (Gbp/s) restent un véritable challenge. / This PhD work deals with characterization and electrical modeling of interconnection networks for 3D stacking of advanced integrated circuits. First, characterization tools have been developed for basic interconnect element specific of the 3D integration : ReDistribution Layer (RDL) interconnect, Back End Of Lines (BEOL) interconnect, Through Silicon Via (TSV) and Copper Pillar. Equivalent models are proposed and then validated on a broad band frequency (MHz-GHz) by electromagnetic modeling. An analysis of global electrical performances of interconnections networks is investigated for 3D wafer stacking. Face to Face, Face to Back and Interposer stacking are compared in order to establish their performances in term of data rate transmission. A study is also carried on 2D inductances integrated in the BEOL to find out which electrical performances are strongly impacted by the stacking of silicon substrate. The last part is dedicated to the optimization strategies of the 3D circuits performances in order to maximize their frequency bandwidth, to minimize the propagation delays and to insure the signal integrity (eye diagram). Answers are given to the 3D circuits designers for determining the best choices of chips orientation, routing and integration density. These results are valued on a concrete application of 3D circuits “memory on processor” (Wide I/O) where obtaining the required specifications on data rate (Gbyps) remain a real challenge.
37

Sélection d'un précurseur pour l'élaboration de couches atomiques de cuivre : application à l'intégration 3D / Selection of a precursor for the atomic layer deposition of copper : application to the 3D integration

Prieur, Thomas 22 November 2012 (has links)
Avec l’augmentation de la densité de fonctionnalités dans les différents circuits intégrés nous entourant, l’intégration 3D (empilement des puces) devient incontournable. L’un des point-clés d’une telle intégration est la métallisation des vias traversant (TSV, Through Silicon Via) reliant deux puces entre-elles : ces TSV ont des facteurs de forme de plus en plus agressifs, pouvant dépasser 20. Les dépôts des couches barrière à la diffusion du cuivre et d’accroche pour le dépôt électrolytique du cuivre étant actuellement réalisées par dépôt physique en phase vapeur, ceux-ci sont limités en termes de conformité et de facteur de forme. Le travail de cette thèse porte sur le développement du dépôt de couches atomiques (ALD, Atomic Layer Deposition) de cuivre et de nitrure de tantale afin de résoudre les problèmes énoncés lors de la métallisation de TSV. Les précurseurs de cuivre étant actuellement mal connus, différents précurseurs ont été dans un premier temps évalués, afin de sélectionner celui répondant au cahier des charges précis de notre étude. Nous nous sommes par la suite attachés à l’étudier selon deux axes : d’abord en examinant ses propriétés thermodynamiques afin de mieux appréhender les réactions de dépôt, puis lors d’élaboration de films de cuivre sur différents substrats et à différentes conditions afin d’optimiser le procédé d’élaboration de films mince de cuivre. Dans un second temps, nous nous sommes attachés à l’étude d’un précurseur de tantale pour la réalisation de couches barrière à la diffusion. Celui-ci a été étudié en ALD, afin de proposer à l’industrie microélectronique un procédé de dépôt de couches barrière et d’accroche optimisé. Pour finir, nous avons vérifié que l’ALD permet le dépôt conforme dans des TSV à haut facteur de forme, et que les films obtenus ont les propriétés correspondant au cahier des charges de l’industrie la microélectronique. / With the increasing density of features in the various integrated circuits surrounding us, 3D integration (stacking chips) becomes essential. One key point of such integration is the metallization of Through Silicon Vias (TSV) connecting two chips together: the aspect ratio of these TSV will be higher than 20 in the near future. The copper-diffusion barrier layer and seed layer for the electrodeposition of copper are currently deposited by physical vapour deposition, and this technique is limited in terms of conformality in high aspect ratio structure. This work focuses on the development of the Atomic Layer Deposition (ALD) of copper and tantalum nitride in order to propose conformal deposition method of barrier and seed layers. Copper precursors being not well known, different precursors were initially evaluated following the specifications of our study. Once the most promising precursor selected, it has been studied in two different ways. Firstly, a thermodynamic study has been carried out to understand the deposition mechanism; then copper ALD films were deposited on different substrates using different conditions to optimize the deposition. In a second step, a tantalum precursor has been studied for ALD of diffusion barrier, in order to offer the microelectronics industry a deposition method for both barrier and seed layer. Finally, we verified that ALD leads to conformal deposition on high aspect ratio TSV, and that the resulting films have properties corresponding to the specifications of the microelectronic industry.
38

Intégration 3D de nanofils Si-SiGe pour la réalisation de transistors verticaux 3D à canal nanofil / 3D Integration of Si/SiGe heterostructured nanowires for nanowire transistors.

Rosaz, Guillaume 11 December 2012 (has links)
Le but de cette thèse est de réaliser et d’étudier les propriétés électroniques d’un transistor à canal nanofil monocristallin à base de Si/SiGe (voir figure), élaboré par croissance CVD-VLS, à grille enrobante ou semi-enrobante en exploitant une filière technologique compatible CMOS. Ces transistors vont nous permettre d’augmenter la densité d’intégration et de réaliser de nouvelles fonctionnalités (par exemple : des interconnections reconfigurables) dans les zones froides d’un circuit intégré. La thèse proposée se déroulera dans le cadre d'une collaboration entre le laboratoire LTM-CNRS et le laboratoire SiNaPS du CEA/INAC/SP2M et utilisera la Plateforme Technologique Amont (PTA) au sein du pôle MINATEC. / The goal of this thesis is to build and characterize nanowire based field-effect-transistors. These FET will have either back or wrapping gate using standard CMOS process. Theses transistors will allow us to increase the integration density in back end stages of IC's fabrication and add new functionnalities suc as reconfigurable interconnections. The thesis will be done in collaboration between LTM/CNRS and CEA/INAC/SP2M/SiNaPS laboratories using the PTA facilities located in MINATEC.
39

Caractérisation in operando de l’endommagement par électromigration des interconnexions 3D : Vers un modèle éléments finis prédictif / In Operando Characterization of Electromigration-Induced Damage in 3D Interconnects : Toward a predictive finite elements model

Gousseau, Simon 26 January 2015 (has links)
L'intégration 3D, mode de conception par empilement des puces, vise à la fois la densification des systèmes et la diversification des fonctions. La réduction des dimensions des interconnexions 3D et l'augmentation de la densité de courant accroissent les risques liés à l'électromigration. Une connaissance précise de ce phénomène est requise pour développer un modèle numérique prédictif de la défaillance et ainsi anticiper les difficultés dès le stade de la conception des technologies. Une méthode inédite d'observation in operando dans un MEB de l'endommagement par électromigration des interconnexions 3D est conçue. La structure d'étude avec des vias traversant le silicium (TSV) « haute densité » est testée à 350 °C avec une densité de courant injectée de l'ordre de 1 MA/cm², et simultanément caractérisée. La réalisation régulière de micrographies informe sur la nucléation des cavités, forcée dans la ligne de cuivre au-dessus des TSV, et sur le scénario de leur évolution. La formation d'ilots et la guérison des cavités sont également observées au cours des essais (quelques dizaines à centaines d'heures). Une relation claire est établie entre l'évolution des cavités et celle de la résistance électrique du dispositif. Les différents essais, complétés par des analyses post-mortem (FIB-SEM, EBSD, MET) démontrent l'impact de la microstructure sur le mécanisme de déplétion. Les joints de grains sont des lieux préférentiels de nucléation et influencent l'évolution des cavités. Un effet probable de la taille des grains et de leur orientation cristalline est également révélé. Enfin, l'étude se consacre à l'implémentation d'un modèle multiphysique dans un code éléments finis de la phase de nucléation des cavités. Ce modèle est constitué des principaux termes de gestion de la migration. / 3D integration, conception mode of chips stacking, aims at both systems densification and functions diversification. The downsizing of 3D interconnects dimensions and the increase of current density rise the hazard related to electromigration. An accurate knowledge of the phenomenon is required to develop a predictive modeling of the failure in order to anticipate the difficulties as soon as the stage of technologies conception. Thus, a hitherto unseen SEM in operando observation method is devised. The test structure with “high density” through silicon vias (TSV) is tested at 350 °C with an injected current density of about 1 MA/cm², and simultaneously characterized. Regular shots of micrographs inform about the voids nucleation, forced in copper lines above the TSV, and about the scenario of their evolution. Islets formation and voids curing are also observed during the tens to hundreds hours of tests. A clear relation is established between voids evolution and the one of the electrical resistance. The different tests, completed by post-mortem analyses (FIB-SEM, EBSD, TEM), demonstrate the impact of microstructure on the depletion mechanism. Grains boundaries are preferential voids nucleation sites and influence the voids evolution. A probable effect of grains size and crystallographic orientation is revealed. Finally, the study focuses on the implementation of a multiphysics modeling in a finite elements code of the voids nucleation phase. This modeling is constituted of the main terms of the migration management.
40

Very High Frequency Integrated POL for CPUs

Hou, Dongbin 10 May 2017 (has links)
Point-of-load (POL) converters are used extensively in IT products. Every piece of the integrated circuit (IC) is powered by a point-of-load (POL) converter, where the proximity of the power supply to the load is very critical in terms of transient performance and efficiency. A compact POL converter with high power density is desired because of current trends toward reducing the size and increasing functionalities of all forms of IT products and portable electronics. To improve the power density, a 3D integrated POL module has been successfully demonstrated at the Center for Power Electronic Systems (CPES) at Virginia Tech. While some challenges still need to be addressed, this research begins by improving the 3D integrated POL module with a reduced DCR for higher efficiency, the vertical module design for a smaller footprint occupation, and the hybrid core structure for non-linear inductance control. Moreover, as an important category of the POL converter, the voltage regulator (VR) serves an important role in powering processors in today's electronics. The multi-core processors are widely used in almost all kinds of CPUs, ranging from the big servers in data centers to the small smartphones in almost everyone's pocket. When powering multiple processor cores, the energy consumption can be reduced dramatically if the supply voltage can be modulated rapidly based on the power demand of each core by dynamic voltage and frequency scaling (DVFS). However, traditional discrete voltage regulators (VRs) are not able to realize the full potential of DVFS since they are not able to modulate the supply voltage fast enough due to their relatively low switching frequency and the high parasitic interconnect impedance between the VRs and the processors. With these discrete VRs, DVFS has only been applied at a coarse timescale, which can scale voltage levels only in tens of microseconds (which is normally called a coarse-grained DVFS). In order to get the full benefit of DVFS, a concept of an integrated voltage regulator (IVR) is proposed to allow fine-grained DVFS to scale voltage levels in less than a microsecond. Significant interest from both academia and industry has been drawn to IVR research. Recently, Intel has implemented two generations of very high frequency IVR. The first generation is implemented in Haswell processors, where air core inductors are integrated in the processor's packaging substrate and placed very closely to the processor die. The air core inductors have very limited ability in confining the high frequency magnetic flux noise generated by the very high switching frequency of 140MHz. In the second generation IVR in Broadwell processors, the inductors are moved away from the processor substrate to the 3DL PCB modules in the motherboard level under the die. Besides computers, small portable electronics such as smartphones are another application that can be greatly helped by IVRs. The smartphone market size is now larger than 400 billion US dollars, and its power consumption is becoming higher and higher as the functionality of smartphones continuously advances. Today's multi-phase VR for smartphone processors is built with a power management integrated circuit (PMIC) with discrete inductors. Today's smartphone VRs operate at 2-8MHz, but the discrete inductor is still bulky, and the VR is not close enough to the processor to support fine-grained DVFS. If the IVR solution can be extended to the smartphone platform, not only can the battery life be greatly improved, but the total power consumption of the smartphone (and associated charging time and charging safety issues) can also be significantly reduced. Intel's IVR may be a viable solution for computing applications, but the air core inductor with un-confined high-frequency magnetic flux would cause very severe problems for smartphones, which have even less of a space budget. This work proposes a three-dimensional (3D) integrated voltage regulator (IVR) structure for smartphone platforms. The proposed 3D IVR will operate with a frequency of tens of MHz. Instead of using an air core, a high-frequency magnetic core without an air gap is applied to confine the very high frequency flux. The inductor is designed with an ultra-low profile and a small footprint to fit the stringent space requirement of smartphones. A major challenge in the development of the very high frequency IVR inductor is to accurately characterize and compare magnetic materials in the tens of MHz frequency range. Despite the many existing works in this area, the reported measured properties of the magnetics are still very limited and indirect. In regards to permeability, although its value at different frequencies is often reported, its saturation property in real DC-biased working conditions still lacks investigation. In terms of loss property, the previous works usually show the equivalent resistance value only, which is usually measured with small-signal excitation from an impedance/network analyzer and is not able to represent the real magnetic core loss under large-signal excitation in working conditions. The lack of magnetic properties in real working conditions in previous works is due to the significant challenges in the magnetic characterization technique at very high frequencies, and it is a major obstacle to accurately designing and testing the IVR inductors. In this research, an advanced core loss measurement method is proposed for very high frequency (tens of MHz) magnetic characterization for the IVR inductor design. The issues of and solutions for the permeability and loss measurement are demonstrated. The LTCC and NEC flake materials are characterized and compared up to 40MHz for IVR application. Based on the characterized material properties, both single-phase and multi-phase integrated inductor are designed, fabricated and experimentally tested in 20MHz buck converters, featuring a simple single-via winding structure, small size, ultra-low profile, ultra-low DCR, high current-handling ability, air-gap-free magnetics, multi-phase integration within one magnetic core, and lateral non-uniform flux distribution. It is found that the magnetic core operates at unusually high core loss density, while it is thermally manageable. The PCB copper can effectively dissipate inductor heat with 3D integration. In addition, new GaN device drivers and magnetic materials are evaluated and demonstrated with the ability to increase the IVR frequency to 30MHz and realize a higher density with a smaller loss. In summary, this research starts with improving the 3D integrated POL module, and then explores the use of the 3D integration technique along with the very high frequency IVR concept to power the smartphone processor. The challenges in a very high frequency magnetic characterization are addressed with a novel core loss measurement method capable of 40MHz loss characterization. The very high frequency multi-phase inductor integrated within one magnetic component is designed and demonstrated for the first time. A 20MHz IVR platform is built and the feasibility of the concept is experimentally verified. Finally, new GaN device drivers and magnetic materials are evaluated and demonstrated with the ability to increase the IVR frequency to 30MHz and realize higher density with smaller loss. / Ph. D.

Page generated in 0.3157 seconds