• Refine Query
  • Source
  • Publication year
  • to
  • Language
  • 37
  • 26
  • 14
  • 10
  • 9
  • 8
  • 7
  • 6
  • 4
  • 3
  • 2
  • 1
  • 1
  • 1
  • Tagged with
  • 124
  • 59
  • 51
  • 48
  • 37
  • 22
  • 19
  • 18
  • 17
  • 17
  • 16
  • 16
  • 15
  • 15
  • 14
  • About
  • The Global ETD Search service is a free service for researchers to find electronic theses and dissertations. This service is provided by the Networked Digital Library of Theses and Dissertations.
    Our metadata is collected from universities around the world. If you manage a university/consortium/country archive and want to be added, details can be found on the NDLTD website.
101

Assessing the maturity of informationsystem integration through people, process, and technology : A study of ERP, PLM and MES

Majdandzic, Albert, Moustaghni, Morteza January 2021 (has links)
Due to advancements in manufacturing digitalization and connectivity; industry 4.0,manufacturing organizations are seeing an increase of data volume, velocity, and variety.Information Systems (IS) such as Enterprise Resource Planning (ERP), Product LifecycleManagement (PLM), and Manufacturing Execution System (MES) are used to handle thesedata compositions within organizations. The IS have different purposes, creating anorganizational, procedural as well as a technical difficulty to capture added value from data.Integrating people, processes, and technology yields a synergetic effect where data value iseffectively harnessed to create business insights and thus enable better decision-making indelivering value to the end-customer. This study sought to examine how a complex manufacturing organization can draw benefitsfrom the synergy effect of integrated IS, and consequently find factors that positively affectthe level of integration. The study evaluates a Swedish manufacturing company's presentintegration maturity and compares it to best practices found in the literature. The analysiscaptures gaps between the current situation and best practices, allowing for the formulationof areas of improvement. The as-is situation of the studied company was assessed with the help of an IS integrationmaturity model. A literature review was conducted to complete the maturity model. Aqualitative data collection was conducted to help both assess current situation and provideappropriate recommendations.  Findings of the study imply that there are different approaches for integrating separate orisolated components. These approaches depend on the interdependence and complexity oftasks. When interdependence is simple, different forms of standardization is most effective.On the other hand, when interdependence is complex, coordination becomes more crucial.Complex tasks require standardization of norms, while simple tasks require standardizationof output. Solutions and future aspects have been provided for collecting data value in a manufacturingenvironment. Mapped out various academic research regarding integrations and comparedit to a real-world case in order to find commonalities and differences. Identified a missingCritical Success Factor in an existing but aged assessment model for IS integrations.
102

Automotive IVHM: Towards Intelligent Personalised Systems Healthcare

Campean, Felician, Neagu, Daniel, Doikin, Aleksandr, Soleimani, Morteza, Byrne, Thomas J., Sherratt, A. 22 February 2019 (has links)
Yes / Underpinned by a contemporary view of automotive systems as cyber-physical systems, characterised by progressively open architectures increasingly defined by their interaction with the users and the smart environment, this paper provides a critical and up-to-date review of automotive Integrated Vehicle Health Management (IVHM) systems. The paper discusses the challenges with prognostics and intelligent health management of automotive systems, and proposes a high-level framework, referred to as the Automotive Healthcare Analytic Factory, to systematically collect and process heterogeneous data from across the product lifecycle, towards actionable insight for personalised healthcare of systems. / Jaguar Land Rover funded research “Intelligent Personalised Powertrain Healthcare” 2016-2019
103

Studie av informationsöverföring mellan konstruktion och produktion / A study of information flow between construction and production departments

Wahlund, Patrik, Sjögren, Patrik January 2016 (has links)
This master thesis aims to examine how the transfer of product related information is carried out in the manufacturing industry. The study was supposed to give suggestions for improving the CAD courses provided at Linköping University. This thesis is the concluding step for the authors’ master’s degree in mechanical engineering.In the beginning of the project a study of relevant literature was made. The literature was aimed to gain more knowledge of the subject at hand and finding relevant methods for collecting and analyzing data. To examine how the industry deals with product related data a multiple case study was conducted, investigating seven different companies that are working with both product development and production. As data collection method, semi-structured interviews of employees on the different companies were conducted.An adaptation of the “affinity diagram technique” was used when analyzing the collected data. The method divided the answers to questions provided by the different companies into different categories, in the form of statements. These where compared between the companies by the use of tables, showing the results in a clear manner. To give better insight in each company´s methods, a descriptive summary was written for each of the studied companies.To be able to give propositions of improvement for the courses at the University, some of the courses given where analyzed by reviewing the course description and goals, and through informal interviews of the course administrator. This led to better insight in what is included in the courses and what they are supposed to teach the students. The knowledge of what is included in the courses at this time and the results of the study of the companies, were the foundation when determining what could be changed in the courses.The case study showed that it varies greatly how much the companies are adapting new approaches for generating and spreading product related data. This made it difficult to find tangible evidence supporting changes to the courses, however, a few conclusions could be drawn from the results. It was found that 2D-drawings are still used to a great extent for carrying product related information. Only one of the studied companies have transitioned to using the 3D-model as an information carrier. Although it was mentioned on some of the other companies that such an approach seemed interesting.The study also showed that less handling of physical papers seems to be something most companies are interested in, and are also trying to achieve. It was also noted that all the companies studied had implemented some form of digital platform for handling product related documents.A few things that were deemed worth implementing in the mandatory courses were the introduction to PDM/PLM, introduction of 3D-annotations for dimensions and tolerances and increased use of the 3D-model for manufacturing operations. / Detta examensarbete ämnar undersöka hur informationsöverföringen av produktrelaterad data sker i industrin för att kunna ge förbättringsförslag för Linköpings Universitets CAD- och ritteknikskurser. Detta för att kurserna bättre ska spegla industrins nuvarande och även framtida behov. Examensarbetet utfördes på avdelningen maskinkonstruktion vid Linköpings Universitet och är det avslutande momentet på författarnas civilingenjörsutbildning i maskinteknik.Till att börja med utfördes en litteraturstudie. Detta för att ge kunskap inom det aktuella området och vilka metoder som kan användas för datainsamling och analys. För att undersöka hur industrins arbetssätt ser ut utfördes en flerfallstudie på sju olika företag som bedriver produktutveckling tillsammans med egen produktion. Som datainsamlingsmetod valdes semistrukturerade intervjuer med anställda på de olika företagen.Analysen utfördes med hjälp av en adaption av ”affinity diagram technique” vilket delade upp företagens svar i kategorier i form av påståenden. Dessa jämfördes mellan företagen med hjälp av tabeller eftersom det var tydligt sätt att representera resultatet. För att ge en inblick i hur varje enskilt företag arbetar utarbetades även en deskriptiv sammanfattning av varje företag.För att kunna ge förbättringsförslag till universitetets CAD- och ritteknikskurser granskades några av de nuvarande kursernas kursplaner. Detta tillsammans med en informell intervju av de kursansvariga ledde till bättre insikt om hur kurserna är uppbyggda och vad målen med dem är. Insikten om vad kurserna innehåller i dagsläget tillsammans med analysen av de studerade företagens arbetssätt bidrog till att ett antal förbättringsförslag kunde föreslås.Det visade sig att graden av användande av modernare arbetssätt varierar kraftigt bland företagen. Detta gjorde det svårt att komma fram till ett enhetligt resultat som gällde för alla de studerade företagen. Däremot kunde ett antal slutsatser dras. Bland annat används fortfarande 2D-ritningar flitigt som ett informationsbärande dokument. En övergång till att enbart använda 3D-modellen som informationsbärare noterades endast på ett utav företagen men nämndes på ett par andra.Papperslöst arbete verkar vara på frammarsch trots att vissa specifika moment fortfarande utförs på papper. Det noterades även att digitala system används för lagring och hantering av produktrelaterad information hos alla de studerade företagen.Det som ansetts värt att implementera i universitets obligatoriska kurser efter genomförd studie är främst ökad användning av PDM/PLM-system, introduktion av 3D-måttsättning samt utnyttjande av 3D-modellen vid tillverkning.
104

Tyst kunskap och produktdatasystem vid medicinteknisk tillverkning : Pilotstudie av system för produktdatahantering och kartläggning av den tysta kunskapen vid Nationellt respirationscetrum, NRC / Tacit knowledge and product data management system in medical technology production : Pilot study of a PDM system and survey of the tacit knowledge at National respiratory centre, NRC

Hedlund, Niclas January 2009 (has links)
<p>This thesis looks at two sides of the same coin: how to support the production and future development at a specialist medical technology department at Danderyd Hospital. The two sides are; a pilot study of a product management system (PDM) and an interview based study on the characteristics of the silent knowledge of the technicians. The department (National respiratory centre, NRC) is facing retirement of several key employees.</p><p>The technical study shows that the success of an implementation is largely dependent on the users’ prior knowledge and use of a 3D Computer aided design system (CAD).The system itself is shown to fulfill the Lifecycle requirement of tracking the products (mostly tracheostomy tubes) but without a CAD centered workflow, some substantial education and preferably some new recruits, an implementation of the PDM system will fail. The author recommends development of the current “low-tech” system of MS Excel and Access rather than redistribute the dependency from technician towards a complex, commercial software and its vendor.</p><p>The analysis of the technicians’ silent knowledge with the newly developed method, epithet for silent knowledge (ETK), shows that the longer employment time:</p><ul><li>the more differentiated technicians become in describing their work,</li><li>practical knowledge are regarded higher and</li><li>the social and collective problem solving factors of the work becomes more important.</li></ul><p>Typically, it is shown that a new employee should preferably enjoy problem solving, being pragmatic and social as well as having some prior education or work experience in a CAD and/or a PDM system.</p>
105

Exploitation dynamique des données de production pour améliorer les méthodes DFM dans l'industrie Microélectronique / Towards production data mining to improve DFM methods in Microelectronics industry

Shahzad, Muhammad Kashif 05 October 2012 (has links)
La « conception pour la fabrication » ou DFM (Design for Manufacturing) est une méthode maintenant classique pour assurer lors de la conception des produits simultanément la faisabilité, la qualité et le rendement de la production. Dans l'industrie microélectronique, le Design Rule Manual (DRM) a bien fonctionné jusqu'à la technologie 250nm avec la prise en compte des variations systématiques dans les règles et/ou des modèles basés sur l'analyse des causes profondes, mais au-delà de cette technologie, des limites ont été atteintes en raison de l'incapacité à sasir les corrélations entre variations spatiales. D'autre part, l'évolution rapide des produits et des technologies contraint à une mise à jour « dynamique » des DRM en fonction des améliorations trouvées dans les fabs. Dans ce contexte les contributions de thèse sont (i) une définition interdisciplinaire des AMDEC et analyse de risques pour contribuer aux défis du DFM dynamique, (ii) un modèle MAM (mapping and alignment model) de localisation spatiale pour les données de tests, (iii) un référentiel de données basé sur une ontologie ROMMII (referential ontology Meta model for information integration) pour effectuer le mapping entre des données hétérogènes issues de sources variées et (iv) un modèle SPM (spatial positioning model) qui vise à intégrer les facteurs spatiaux dans les méthodes DFM de la microélectronique, pour effectuer une analyse précise et la modélisation des variations spatiales basées sur l'exploitation dynamique des données de fabrication avec des volumétries importantes. / The DFM (design for manufacturing) methods are used during technology alignment and adoption processes in the semiconductor industry (SI) for manufacturability and yield assessments. These methods have worked well till 250nm technology for the transformation of systematic variations into rules and/or models based on the single-source data analyses, but beyond this technology they have turned into ineffective R&D efforts. The reason for this is our inability to capture newly emerging spatial variations. It has led an exponential increase in technology lead times and costs that must be addressed; hence, objectively in this thesis we are focused on identifying and removing causes associated with the DFM ineffectiveness. The fabless, foundry and traditional integrated device manufacturer (IDM) business models are first analyzed to see coherence against a recent shift in business objectives from time-to-market (T2M) and time-to-volume towards (T2V) towards ramp-up rate. The increasing technology lead times and costs are identified as a big challenge in achieving quick ramp-up rates; hence, an extended IDM (e-IDM) business model is proposed to support quick ramp-up rates which is based on improving the DFM ineffectiveness followed by its smooth integration. We have found (i) single-source analyses and (ii) inability to exploit huge manufacturing data volumes as core limiting factors (failure modes) towards DFM ineffectiveness during technology alignment and adoption efforts within an IDM. The causes for single-source root cause analysis are identified as the (i) varying metrology reference frames and (ii) test structures orientations that require wafer rotation prior to the measurements, resulting in varying metrology coordinates (die/site level mismatches). A generic coordinates mapping and alignment model (MAM) is proposed to remove these die/site level mismatches, however to accurately capture the emerging spatial variations, we have proposed a spatial positioning model (SPM) to perform multi-source parametric correlation based on the shortest distance between respective test structures used to measure the parameters. The (i) unstructured model evolution, (ii) ontology issues and (iii) missing links among production databases are found as causes towards our inability to exploit huge manufacturing data volumes. The ROMMII (referential ontology Meta model for information integration) framework is then proposed to remove these issues and enable the dynamic and efficient multi-source root cause analyses. An interdisciplinary failure mode effect analysis (i-FMEA) methodology is also proposed to find cyclic failure modes and causes across the business functions which require generic solutions rather than operational fixes for improvement. The proposed e-IDM, MAM, SPM, and ROMMII framework results in accurate analysis and modeling of emerging spatial variations based on dynamic exploitation of the huge manufacturing data volumes.
106

Water dispersible electrically conductive poly(3,4- ethylenedioxythiophene) nanospindles by liquid crystalline template assisted polymerization

Devaki, Sudha J., Sadanandhan, Neethu K., Sasi, Renjith, Adler, Hans-Juergen P., Pich, Andrij 09 January 2020 (has links)
In this work, we demonstrate an inimitable liquid crystalline template strategy for the preparation of water dispersible electrically conducting poly(3,4-ethylenedioxythiophene) nanospindles (PEDOTSs). PEDOTSs were formed during the polymerization of the spindle shaped liquid crystalline phase of “EDOT–PDPSA” which was formed by the specific interactions of 3,4-ethylenedioxythiophene (EDOT) with 3-pentadecyl phenol-4-sulphonic acid (PDPSA). Liquid crystalline phases were characterized by Polarized Light Microscopic (PLM) analyses, rheology and XRD. Morphology and solid state ordering of conducting nanospindles were characterized by SEM, TEM and XRD. PEDOTSs exhibited an electrical conductivity of ~2.79 S cm⁻¹ and a good thermal stability (~300 °C), which suggests their applicability in fabricating high temperature electronic devices. Furthermore, electrochemical studies of PEDOTS modified glassy carbon electrodes (GCEs) showed an oxidation peak of ascorbic acid at a lower potential of 0.046 V with a peak current about 10 times (91.68 µA) higher than that of the bare GCE with excellent electrode stability, proposing that it can be used as a steady electrode for the electro-catalytic oxidation of similar molecules.
107

Applications of Digital Engineering Tenets to Naval Special Warfare Requirement(s) Definition

David Novotney (15360427) 28 April 2023 (has links)
<p>  The world continues to advance at a hastening pace towards a technology enabled, digital-centric future. Legacy organizations, not born in the ‘digital age’ are examining methods to adapt through Digital Transformation (DT). The US Department of Defense (DoD) is one such organization. The DoD emerged their 2018 Digital Engineering Strategy intending on transforming the enterprise from one with ‘engineering process [that] are document-intensive and stove-piped, leading to extended cycle times with systems that are cumbersome to change and sustain’ to one that is ‘transforming its engineering practices to digital engineering, incorporating technological innovations into an integrated, digital, model-based approach’. </p> <p>  The 2018 Strategy acknowledges that the integration of digital engineering will not be exclusive to the engineering communities of the DoD; rather, the integration will impact the ‘research, requirements, acquisition, test, cost, sustainment and intelligence communities’. While the Strategy is designed to explain the ‘what’ necessary to integrate digital engineering, the various DoD Services (and their subordinates) will need to develop the ‘how’ regarding implementation that is culturally appropriate to their commands.</p> <p>  The study sought to examine ‘how’ implementation of digital engineering tenets may be appropriated to the existent culture of one US Special Operations Command subordinate at the Echelon III level (namely Naval Special Warfare Group – FOUR). The results of this study are intended to provide understanding and illuminate meaning behind those themes in Digital Engineering that Subject Matter Experts within Naval Special Warfare view as suitably adaptable to their processes. The intent is to provide themes with utility towards further efforts and research aimed at phasing Digital Transformation initiatives at Naval Special Warfare Group – FOUR.</p>
108

Product structure modeling for ETO system product considering the product lifecycle : A case study of ABB Mine Hoist

Zhang, Sumei January 2019 (has links)
In order to gain competitive advantages in markets, companies have provided a variety of customized products to satisfy customer-specific requirements, leading to not only a large amount of product data but also high cost, long lead-time and complexity of quality control. Efficient product data management throughout the product lifecycle has become increasingly crucial, of which product structure management is regarded as the most important constituent.  The study took ABB Mine Hoist system as a case to investigate how to construct a generic product structure model fit for engineer-to-order system offerings with the consideration of their sales-delivery product lifecycle. The aim of the model is to facilitate the product-related information sharing and reuse across a company, and the integration of different business operations throughout the entire product lifecycle as well. Based on the current situation analysis of product data management on ABB Mine Hoist, three major issues were identified which need to be addressed in the formulation of a generic structure model: namely the integration of requirements of multiple disciplines; the consistency of product information throughout the product lifecycle; and the constant update of product repository. Through illustrating the formulation of ABB Mine Hoist generic structure model, the method of how to construct a generic product structure model for engineer-to-order system product was presented. The model was achieved by applying the framework of the step-based product model and was regarded as a result of integrating domain-specific requirements. The adaptive generic product structure model was then employed to display the role of this generic model in the different phases of a sales-delivery lifecycle. The model could serve as a “master concept” to transfer common product information in the product lifecycle. It’s expected to benefit the business of engineer-to-order system product through improving the integration of different disciplines, enhancing information exchange and reuse. It could also provide an abstract and conceptual basis for potential product repository to reinforce data consistency and completeness.
109

3D-Simulation und Planung von Anlagen der Hütten- und Walzwerkstechnik

Oppermann, Ingo 11 May 2009 (has links) (PDF)
In der Präsentation werden typische Engineeringaufgaben präsentiert, die mit modernen IT-Werkzeugen erledigt werden. Es wird auf die Einführung und die Durchgängigkeit eines 3D-CAD-Systems eingegangen und gezeigt, wie mit diesem System Simulations- und Prozesskettenthemen in der Praxis bei der SMS Siemag AG behandelt werden. Ebenfalls anhand von Beispielen wird die Thematik der großen Baugruppen und der Anlagenplanung im 3D-Engineering dargestellt.
110

Exploitation dynamique des données de production pour améliorer les méthodes DFM dans l'industrie Microélectronique

Shahzad, Muhammad Kashif 05 October 2012 (has links) (PDF)
La " conception pour la fabrication " ou DFM (Design for Manufacturing) est une méthode maintenant classique pour assurer lors de la conception des produits simultanément la faisabilité, la qualité et le rendement de la production. Dans l'industrie microélectronique, le Design Rule Manual (DRM) a bien fonctionné jusqu'à la technologie 250nm avec la prise en compte des variations systématiques dans les règles et/ou des modèles basés sur l'analyse des causes profondes, mais au-delà de cette technologie, des limites ont été atteintes en raison de l'incapacité à sasir les corrélations entre variations spatiales. D'autre part, l'évolution rapide des produits et des technologies contraint à une mise à jour " dynamique " des DRM en fonction des améliorations trouvées dans les fabs. Dans ce contexte les contributions de thèse sont (i) une définition interdisciplinaire des AMDEC et analyse de risques pour contribuer aux défis du DFM dynamique, (ii) un modèle MAM (mapping and alignment model) de localisation spatiale pour les données de tests, (iii) un référentiel de données basé sur une ontologie ROMMII (referential ontology Meta model for information integration) pour effectuer le mapping entre des données hétérogènes issues de sources variées et (iv) un modèle SPM (spatial positioning model) qui vise à intégrer les facteurs spatiaux dans les méthodes DFM de la microélectronique, pour effectuer une analyse précise et la modélisation des variations spatiales basées sur l'exploitation dynamique des données de fabrication avec des volumétries importantes.

Page generated in 0.0283 seconds